Back close
Tag Group Details

The research at the department aims to take up projects meeting to the needs of the society. The department’s research efforts are improved by collaborations with leading research universities and industries around the world. Future plans include introducing more postgraduate programs and research facilities.

Tag Group Details (AY 2020-2021)

Row Number Advanced Communication Research Group (ACRG) Communication Networks and Applications Optics and Photonics RF and Wireless Systems VLSI Systems, Architecture and Circuits (VSAC) Signal Processing Robotics Tag
1 Dr. Navin Kumar (Tag Lead) Dr. T. K. Ramesh (Tag Lead) Dr. Abhilash R (Tag Lead) Dr. Dhanesh Kurup (Tag Lead) Dr. Kamatchi S (Tag Lead) Dr. Neelima N. (Tag Lead) Dr. Sreeja Kochuvila (Tag Lead)
2 Dr. Jalpa Shah Mr. C. V. Giriraja   Dr. P Maran Dr. Paramasivam Dr. Ganapathi Hegde Ms. R. Jeyanthi
3 Ms. Latha Ms. Jayashree Oli   Ms. Sanjika Devi Dr. Ramesh Chinthala Ms. Sunitha Mr. Nandi Vardhan
4 Mr. Sagar B.     Ms. Kavitha Pillai Ms. Vinodhini Ms. Lalitha  
5 Ms. Sonali Agrawal       Ms. Kirti S. Pande Ms. Bhavana V  
6 Ms. Priya       Mr. P Satish Kumar    
7 Mr. Vignesh       Mr. R Swaminadhan    
Research Scholars
Sl. No. Name Registration No. PT / FT Thesis Advisor
1 Mr. Pala Sreenivasulu BL.EN.D*ECE12002 PT Dr. Dhanesh G. Kurup
2 Ms. M. Vinodini BL.EN.D*ECE13002 PT Dr. T.K. Ramesh
3 Ms. R.V. Sanjika Devi BL.EN.D*ECE13003 PT Dr. Dhanesh G. Kurup
4 Mr. V. Senthil Kumar BL.EN.D*ECE13005> PT Dr. Dhanesh G. Kurup
5 Ms. R. Jeyanthi BL.EN.D*ECE14001 PT Dr. Sriram Devanathan
6 Ms. S. Lalitha BL.EN.D*ECE15001 PT Dr. Deepa Gupta
7 Mr. B. Veerender Reddy BL.EN.D*ECE15002 PT Dr. Amudha J.
8 Ms. Rithu R. BL.EN.D*ECE15003 PT Dr. Sreeja K.
9 Ms. Susmitha Vekkot BL.EN.D*ECE15004 FT Dr. Deepa Gupta
10 Ms. Kaveri Hatti BL.EN.D*ECE15006 FT Dr. C. Paramasivam
11 Ms. Vrinda K. BL.EN.D*ECE15007 FT Dr. Dhanesh G. Kurup
12 Ms. Pournamy S. BL.EN.D*ECE15009 FT Dr. Maran P.
13 Mr. Nandi Vardhan H.R. BL.EN.D*ECE15010 PT Dr. Sreeja Kochuvila
14 Ms. Padmini Palli BL.EN.D*ECE15011 FT Dr. Deepa Gupta
15 Ms. Sirisha Tadepalli BL.EN.D*ECE15012 FT Dr. Surekha P.
16 Ms. Parul Mathur BL.EN.D*ECE15013 FT Dr. Dhanesh G. Kurup
17 Mr. B. Sagar BL.EN.D*ECE15014 PT Dr. Navin Kumar
18 Ms. Monika Katta BL.EN.D*ECE16004 PT Dr. T.K. Ramesh
19 Ms. Lalitha H.M. BL.EN.D*ECE16005 PT Dr. Navin Kumar
20 Mr. Vignesh V. BL.EN.D*ECE16008 PT Dr. Navin Kumar 
21 Ms. Varsha S. Lalapura BL.EN.D*ECE16009 FT Dr. Amudha J.
22 Ms. Anu Chalil BL.EN.D*ECE16010 PT Dr. Madhura Purnaprajna
23 Ms. Daliya V.K. BL.EN.D*ECE16011 FT Dr. T.K. Ramesh
24 Mr. Giriraja  C.V. BL.EN.D*ECE16013 PT Dr. T.K. Ramesh
25 Ms. Jayashree M.Oli BL.EN.D*ECE16014 PT Dr. T.K. Ramesh
26 Ms. K. Jeeva Priya BL.EN.D*ECE16015 PT Dr. Arpita Thakre 
27 Ms. Kavitha N. Pillai BL.EN.D*ECE16016 PT Dr. Chinthala Ramesh
28 Mr. Nidhin Joe Kuttikat BL.EN.D*ECE16017 PT Dr. S. Kamatchi
29 Ms. S. Sumathi BL.EN.R4ECE17002 FT Dr. T.K. Ramesh
30 Ms. Latha BL.EN.R4ECE17003> PT Dr. Raghu J.
31 Mr. Swaminadhan Rajula BL.EN.R4ECE17005 PT Dr. Chinthala Ramesh
32 Ms. Shruthi A.S. BL.EN.R4ECE18001 FT Dr. S. Kamatchi
33 Mr. Shamik Chakraborty BL.EN.R4ECE18004 FT Dr. Abhilash Ravikumar
34 Ms. Laxmi Sharma BL.EN.R4ECE18005 PT Dr. Navin Kumar
35 Ms. Monika Singh BL.EN.R4ECE18006 FT Dr. Navin Kumar
36 Mr. Sivasubramanian S. BL.EN.R4ECE18007 PT Dr. T.K. Ramesh
37 Mr. P. Aravind Sai BL.EN.R4ECE18010 PT Dr. Raghu J.>
38 Ms. Priya R. BL.EN.R4ECE19001 PT Dr. Navin Kumar
39 Ms. Anandita Sahoo BL.EN.R4ECE19002 PT Dr. Vijay Pande
40 Mr. Mamadur Nagaraj BL.EN.R4ECE19003 PT Dr. Raghu J.
41 Mr. Srikanth K.S. BL.EN.R4ECE19004 PT Dr. T.K. Ramesh
42 Ms. A. Swetha Priya BL.EN.R4ECE19005 PT Dr. S. Kamatchi
43 Ms. Anju Das BL.EN.R4ECE19006 PT Dr. N. Neelima
44 Ms. Chitralekha G. BL.EN.R4ECE19007 PT Dr. Ganapathi Hegde
45 Ms. Priyadarshini R. BL.EN.R4ECE19008 PT Dr. C. Paramasivam
46 Mr. Krishna K.S. BL.EN.R4ECE20001 PT Dr. Ganapathi Hegde
47 Mr. Krishnadas Baburaya Bhagwat BL.EN.R4ECE20002> FT Dr. Abhilash Ravikumar
48 Ms. Sompalli Vishnupriya Chowdhary BL.EN.R4ECE20003 PT Dr. N. Neelima
49 Ms. Tummala Lakshmi Prasanthi BL.EN.R4ECE20004 PT Dr. Neelima N.
50 Ms. Bukke Madhavi BL.EN.R4ECE20005 PT Dr. C. Paramasivam
51 Ms. Harika Pudugosula BL.EN.R4ECE20006 FT Dr. Sreeja K.
52> Mr. Subhra Prakash Giri BL.EN.R4ECE20007 FT D. Navin Kumar
53 Mr. Ravikumar Tiwari BL.EN.R4ECE20008 PT Dr. Ganapathi Hegde
54 Ms. Meera K.S. BL.EN.R4ECE20009 PT Dr.Jalpa Shah>
55 Mr. Rajesh Pashikanti BL.EN.R4ECE20010 FT Dr. Sreeja Kochuvila
56 Ms. Rasiraju Sankeerthana BL.EN.R4ECE20011 PT> Dr. Ganapathi Hegde
57 Ms. Prashanthi K. BL.EN.R4ECE20012 PT Dr.. Neelima N.
58 Ms. Rajalakshmy G BL.EN.R4ECE20013 FT Dr. Navin Kumar
59 Ms. Kavya C. H. BL.EN.R4ECE20014 PT Dr. P. Maran

Journal Article

Dementia Speech Dataset Creation and Analysis in Indic Languages—A Pilot Study

Authors : Dr. S. Lalitha, Dr. Deepa Gupta, Dr. Susmitha Vekkot, Nagulapati Naga Venkata Sai Prakash, Thirupati Sai Eswar Reddy, Satwik Reddy Sripathi, Mohammed Zakariah, and Yousef Ajami Alotaibi

Publisher : IEEE

Dementia detection from speech using machine learning and deep learning architectures

Authors : Dr. Susmitha Vekkot, Dr. S. Lalitha, Kumar, M. R., Gupta, D., Govindraj, V. J., Shaukat, K.,Zakariah, M.

Publisher : Sensors

FPGA Implementation of UaL Decomposition, an alternative to the LU factorization

Authors : Dr. Ramesh Chinthala, Ruchitha, Sai

Publisher : Mathematical Statistician and Engineering Applications

An efficient design methodology to speed up the FPGA implementation of artificial neural networks

Authors : Dr. Ramesh Chinthala, Dr. Dhanesh G. Kurup, Vineetha, K. V., M. Mohit SK Reddy

Publisher : Engineering Science and Technology, an International Journa

Face Detection and Recognition Using Face Mesh and Deep Neural Network

Authors : Dr. N. Neelima, Shivalila.H, Tripty Singh

Publisher : Procedia Computer Science

Triple-Matrix Product based 2-D Systolic Implementation of Discrete Fourier Transform

Authors : Dr. Mamatha I., Sudarshan TSB, ShikhaTripathi, Nikhil Bhattar

Publisher : Springer

Hybrid Architecture for Sinusoidal/Non sinusoidal Transforms

Authors : Dr. Mamatha I., ShikhaTripathi, Sudarshan TSB

Publisher : Springer

A Hybrid Novel Cascaded Asymmetrical 21-level Inverter with Reduced Switches

Authors : Dr. Sandeep Singh Chauhan, Madan Das, Kartick Jana, Parusharamulu Buduma, Pal, Pradipta, Sukumar Mishra

IoT Based Crop Monitoring System

Authors : Ms. Pavithra P, Ullas K J, Suchith B R, Uday kumar G, and Varun T D, Mr.Hebbar K R

Publisher : International Journal of Management

Smart Wearable Reading Assistance System For Visually Impaired People

Authors : Ms. Pavithra P, A. Infant Soosai Kishore, Josepher. S, Kandavelu. N, Bhuvaneshwaran G

Publisher : International Journal of Management

Online writer identification with sparse coding based descriptors

Authors : Dr. Vivek Venugopal, Suresh Sundaram

Publisher : IEEE Trans. Information Forensics and Security

Laser induced flexible graphene electrodes for electrochemical sensing of hydrazine

Authors : Dr. Sarda Sharma, Sankalp KoduvayurGaneshan, Prasant KumarPattnaik, Sayan Kanungo, Karumbaiah N. Chappanda

Publisher : Materials Letters

Influence of laser and alkali treatment on an Ag/TiO2 nanotube based dopamine sensor

Authors : Dr. Sarda Sharma, P N Sidhartha, Karumbaiah N Chappanda

Publisher : Nanotechnology

Extensive Enhancement in Charge Collection Efficiency of Ferroelectric Cr-Doped BFO-Based Solar Cells by Using TiO2 Nanotube Arrays

Authors : Dr. Sarda Sharma, H. Renuka, B. Harihara Venkataraman, Kannan Ramaswamy, Karumbaiah N. Chappanda, Souvik Kundu, Sanket Goel

Publisher : IEEE Journal of photovoltaics

Enhancement in TiO2 nanotubes based electrochemical sensors via synergistic effect of TiCl4 surface engineering

Authors : Dr. Sarda Sharma, Mridul Tiwari, Sajid Vali Nurbash, Raghav Gagrani, Shouvik Bhuin, Karumbaiah N. Chappanda

Publisher : IEEE Sensors Journal

Effect of Doping on TiO2 Nanotubes Based Electrochemical Sensors: Glucose Sensing as a Case Study

Authors : Dr. Sarda Sharma, Sankalp Koduvayur Ganeshan, Souvik Kundu, Karumbaiah N. Chappanda

Publisher : IEEE Transactions on Nanotechnology

Transmission Techniques using Flip-OFDM Optical MIMO for Multi User VLC Systems

Authors : Dr. Navin Kumar, Mahesh K Jha, Lakshmi VYS

Publisher : Elsevier Journal of King Saud University

Performance Analysis of Multi-Radio Wireless Mesh Network

Authors : Dr. Navin Kumar

Publisher : Technical Report of ‘The Institution of Engineers (India)

Automated Prediction of Sudden Cardiac Death using Statistically Extracted Features from ECG Signals

Authors : Dr. Navin Kumar, Viswavardhan KR

Publisher : International Journal of Electrical and Computer Engineering

Mobility Management based Mode Selection Method for next generation network

Authors : Dr. Navin Kumar, Sapkale Pallavi, Kolekar Uttam

Publisher : Springer

A Linear High Frequency gm Boosting Wideband LNA in 130 nm SiGe HBT with Minimum NF of 4.3 dB for WiGig Application

Authors : Dr. Navin Kumar, Pournamy Sukumaran, Maran Ponnambalam

Publisher : Journal of Circuits, Systems, and Computers

5G New Radio Key Performance Indicators Evaluation for IMT-2020 Radio Interface Technology

Authors : Dr. Navin Kumar, Phani Kumar Reddy,M. Sheeba Kumari,Vishakha Dhanwani,Akhil Kalpesh Bachkaniwala, K. Vasudevan, Srinivasan Selvaganapathy,Sendil Kumar Devar,Punit Rathod,Vinosh Babu James

Publisher : IEEE

Transmission Techniques for Multi User MIMO VLC Systems Using Flip-OFDM

Authors : Dr. Navin Kumar, Mahesh Kumar Jha, YVS Lakshmi

Publisher : Journal of Communications

SNR based Energy-Efficient Communication Protocol for Emergency Applications in WBAN

Authors : Dr. Navin Kumar, K. Viswavardhan Reddy

Publisher : International Journal of Advanced Computer Science and Applications (IJACSA)

Data Reconciliation Using MA-PCA and EWMA-PCA for Large Dimensional Data

Authors : Jeyanthi R.

Publisher : Journal of Intelligent & Fuzzy Systems

Design and Development of Extended Hamming code technique for SECDAEC in an audio signal

Authors : Dr. Kamatchi S., Mallidi Sumalatha, M. V. Mahesh Babu, M. L. Sai Teja

Publisher : IEEE

Face recognition and tracking for security surveillance

Authors : Dr. S. Lalitha, Nair, S. P., Abhinav Reddy, K., Alluri, P. K.

Publisher : Journal of Intelligent & Fuzzy Systems

Mental Illness Disorder Diagnosis Using Emotion Variation Detection from Continuous English Speech

Authors : Dr. S. Lalitha, Dr. Deepa Gupta, Gupta, D., Zakariah, M., & Alotaibi, Y. A.

Publisher : CMC-COMPUTERS MATERIALS & CONTINUA

Design of Efficient Low Power Strong PUF for Security Applications

Authors : Dr. Kamatchi S., Akash B Patel, Kaveri Hatti

Publisher : IEEE

Application of sensor based technology in Aquaculture using Using IOT

Authors : Dr. Sunitha R., Sai Ajay V; B Srisai Supraj; P Sanjeev Kumar; R. Sunitha; A. Sreedevi

Publisher : JARDCS

Modelling and simulation of neuronal communications at microscopic and macroscopic level

Authors : Dr. Sunitha R., Sandeep Nair; R. Sunitha; N Pradhan; A Sreedevi

Publisher : International Journal of Pure and Applied Mathematics

The MANI Protocol for Intra-Vehicular Networking

Authors : Sagar B., Sumedh, N.; Srinivasan, Mangala Sneha; Sagar B.; Gangrade, Nidhi

Automated Bus Ticketing System Using RFID

Authors : Jayasree M. Oli, Telluri, Pavan; Manam, Saradeep; Jayasree M. Oli

Publisher : 2019 2nd International Conference on Intelligent Computing, Instrumentation and Control Technologies (ICICICT)

Investigation of multilingual and mixed-lingual emotion recognition using enhanced cues with data augmentation

Authors : Dr. S. Lalitha, S. Lalitha; Dr. Deepa Gupta; Mohammed Zakariah; Yousef Ajami Alotaibi

Publisher : Applied Acoustics,

A vital neurodegenerative disorder detection using speech cues

Authors : Dr. S. Lalitha, B. Jahnavi, Supraja, B., and Lalitha, S

Publisher : Journal of Intelligent & Fuzzy Systems,

Anti-Hijacking system using Raspberry Pi

Authors : Jayashree M., Rishhabh Naik, Surampalli Vaishnavi, Jayasree M. Oli

Publisher : 2019 International Conference on Smart Systems and Inventive Technology (ICSSIT),

Design and Implementation of Enhanced PUF Architecture on FPGA

Authors : Dr. Paramasivam C., Kaveri Hatti; Paramasivam C.

Publisher : International Journal of Electronics Letters

Research on different classifiers for early detection of lung nodules

Authors : Dr. N. Neelima, Madan.k; Anusha.K; Dr. N. Neelima

Publisher : International Journal of Recent Technology and Engineering (IJRTE)

Medical Applications of Deep Learning in Emotion Recognition System

Authors : Dr. Paramasivam C., Paramasivam C.; Priya Darsini R

Publisher : Journal of Critical Reviews

A review on convolutional neural network based deep learning methods in gene expression data for disease diagnosis

Authors : Dr. Paramasivam C., C. Gunavathi; K. Sivasubramanian; P. Keerthika; Paramasivam C.

Publisher : Materials Today: Proceedings (2020)

Design of Low Power Asynchronous Parallel Adder

Authors : Dr. Kamatchi S., Benedicta Roseline. R; Kamatchi S.

Publisher : International Journal For Scientific Research and Development

Efficient Aging-Aware Reliable 8-Bit Booth Multiplier with Novel Adaptive Hold Logic Circuit

Authors : Dr. Kamatchi S., Kamatchi S.; Dr.C.Vivekanandan

Publisher : International Journal of Applied Engineering Research

Cell-level modeling of IEEE 802.11 WLANs

Authors : Dr. Manoj Kumar Panda, Anurag Kumar

Publisher : Ad Hoc Networks

Reliable Transport in Delay-Tolerant Networks With Opportunistic Routing

Authors : Dr. Manoj Kumar Panda, Lucile Sassatelli; Arshad Ali; Tijani Chahed; Eitan Altman

Publisher : IEEE Transactions on Wireless Communications,

Analytical Modeling of Multipath TCP Over Last-Mile Wireless

Authors : Dr. Manoj Kumar Panda, S. R. Pokhrel; Hai Le Vu

Publisher : IEEE/ACM Transactions on Networking

Performance Analysis of TCP NewReno over a Cellular Last-Mile: Buffer and Channel Losses

Authors : Dr. Manoj Kumar Panda, Hai Le Vu; Michel Mandjes; Shiva Raj Pokhrel

Publisher : IEEE Transactions on Mobile Computing

Particle Filter for Reliable Bus Travel Time Prediction Under Indian Traffic Conditions

Authors : Dr. Manoj Kumar Panda, B. Dhivyabharathi; B. N. Anil Kumar; Lelitha Vanajakshi;

Publisher : Transportation in Developing Economies,

Analysis of Multi-Hop Probabilistic Forwarding for Vehicular Safety Applications on Highways

Authors : Dr. Manoj Kumar Panda, Hien Phuong Luong; Hai Le Vu; Quoc Bao Vo

Publisher : IEEE Transactions on Mobile Computing

Multi-User Visible Light Communication

Authors : Dr. T. K. Ramesh, P Amarnath Reddy; G S SVrajendranand; Dr. T. K. Ramesh

Publisher : International Journal of Engineering Research & Technology (IJERT), IJERT

A faster phase frequency detector using transmission gate–based latch for the reduced response time of the PLL

Authors : Dr. T. K. Ramesh, Koithyar, Aravinda;

Publisher : International Journal of Circuit Theory and Applications

Centralized Swarm Network

Authors : Dr. T. K. Ramesh, P. Telluri, Bulusu, S., and Dr. T. K. Ramesh

Publisher : Journal of Computational and Theoretical Nanoscience

Beacon Rate Optimization for Vehicular Safety Applications in Highway Scenarios

Authors : Dr. Manoj Kumar Panda, H. P. Luong; Hai Le Vu; B. Q. Vo

Publisher : IEEE Transactions on Vehicular Technology

Integer-N charge pump phase locked loop for 2.4 GHz application with a novel design of phase frequency detector

Authors : Dr. T. K. Ramesh, Koithyar, Aravinda; Dr. T. K. Ramesh

Publisher : IET Circuits, Devices & Systems

A study of realistic dynamic traffic assignment with signal control, time-scale, and emission

Authors : Dr. Manoj Kumar Panda, Tarikul Islam; Hai Le Vu; Dong Ngoduy .

Publisher : Journal of Intelligent Transportation Systems, Taylor & Francis.

Priority Based Time-Division Multiple Access Algorithm for Medium Range Data Communication in Very High Frequency Radios

Authors : Dr. K. N. Meera, Giriraja C. V., Dr. T. K. Ramesh, Giriraja C. V.; Chirag V.; Sudheendra C.; Bellur Samarth S.; Dr. T. K. Ramesh;

Publisher : Journal of Computational and Theoretical Nanoscience,

A linear framework for dynamic user equilibrium traffic assignment in a single origin-destination capacitated network

Authors : Dr. Manoj Kumar Panda, Nam H. Hoang; Hai Le Vu; Hong K. Lo

Publisher : Transportation Research Part B: Methodological

System optimal dynamic traffic assignment: solution structures of the signal control in non-holding-back formulations

Authors : Dr. Manoj Kumar Panda, Tarikul Islam; Hai Le Vu;

Publisher : Transportmetrica B: Transport Dynamics, Taylor & Francis

Fair Coexistence of Regular and Multipath TCP over Wireless Last-Miles

Authors : Dr. Manoj Kumar Panda, S. R. Pokhrel; Hai Le Vu

Publisher : IEEE Transactions on Mobile Computing

Amplifying active reflect-antenna using a microstrip-T coupled patch /sub e/sign and measurement

Authors : Dr. Dhanesh G. Kurup, Rydberg, A.

Publisher : IEEE Transactions on Microwave Theory and Techniques,

A novel Non-Invasive Microwave Technique for monitoring Salinity in Water

Authors : Dr. Dhanesh G. Kurup, Dr. Amrita Thakur, Dr. Parul Mathur, Parul Mathur; ; Augustine, Robin;

Publisher : TENCON 2019 – 2019 IEEE Region 10 Conference (TENCON)

Analytical Expressions for Green’s functions in Layered media

Authors : Dr. Dhanesh G. Kurup

Publisher : IEEE Transactions on Antennas and Propagation,

Localization of unknown electromagnetic source using 3D-antenna arrays

Authors : Dr. Dhanesh G. Kurup, Pala, Sreenivasulu; Palliyani, Srividhya; Himdi, Mohamed; Lafond, Olivier;

Publisher : International Journal of Microwave and Wireless Technologies, Cambridge University Press

Directional Ultra-wideband monopole antennas

Authors : Dr. Dhanesh G. Kurup, A. Hachi, Lebbar, H., Himdi, M.

Publisher : Far East Journal of Electronics and Communications

Visible Light Communication Systems Conception and VIDAS

Authors : Dr. Navin Kumar, Lourenco, Nuno; Spiez, Michal; Aguiar, Rui

Publisher : IETE Technical Review

Visible Light Communication Based Traffic Information Broadcasting Systems

Authors : Dr. Navin Kumar

Publisher : International Journal of Future Computer and Communication

Led-Based Visible Light Communication System: A Brief Survey and Investigation

Authors : Dr. Navin Kumar, Lourenco, Nuno

Publisher : Journal of Engineering and Applied Sciences,

Probabilistic Prediction-based Packet Scheduling Scheme in Internet of Things

Authors : Dr. Navin Kumar

Publisher : Journal of Ubiquitous Systems & Pervasive Networks

Markov Chain Based Priority Queueing Model for Packet Scheduling and Bandwidth Allocation

Authors : Dr. Navin Kumar, Sharma, Reema; Srinivas, T.

Publisher : Ubiquitous Communications and Network Computing, Springer International Publishing

Energy Efficient Lighting System for Indoor Parking with Ubiquitous Communication

Authors : Dr. Navin Kumar, Jha, Mahesh Kumar;

Publisher : Wireless Personal Communications

Outdoor Millimeter-Wave Channel Modeling for Uniform Coverage Without Beam Steering

Authors : Dr. Navin Kumar, Sheeba Kumari, M.; Rao, Sudarshan A.;

Publisher : Ubiquitous Communications and Network Computing, Springer International Publishing

Stratified squamous epithelial biopsy image classifier using machine learning and neighborhood feature selection

Authors : Dr. Navin Kumar, Archana Nawandhar; Veena R; Lakshmi Yamujala

Publisher : Biomedical Signal Processing and Control

Optimization of street canyon outdoor channel deployment geometry for mmWave 5G communication

Authors : Dr. Navin Kumar, M. Sheeba Kumari; Ramjee Prasad

Publisher : AEU – International Journal of Electronics and Communications

An artificial neural network-based non-destructive microwave technique for monitoring fluoride contamination in water

Authors : Dr. Parul Mathur, Dr. Dhanesh G. Kurup, Dr. Amrita Thakur, Parul Mathur; Dr. Amrita Thakur; Dr. Dhanesh G. Kurup

Publisher : Journal of Electromagnetic Waves and Applications

TCP Performance over Wi-Fi: Joint Impact of Buffer and Channel Losses

Authors : Dr. Manoj Kumar Panda, Shiva Raj Pokhrel; Hai Le Vu; Michel Mandjes

Publisher : IEEE Transactions on Mobile Computing,

A Simple Massive MIMO Scheme based on the Overlap of STBC

Authors : Dr. Pooja Kenchetty P., Iimori Hiroki, Giuseppe Abreu, K. Rajesh Shetty

Publisher : Semantic Scholar

Design Of Low Power Speculative Han-Carlson Adder

Authors : Dr. Kamatchi S.

Publisher : International Journal For Trends In Engineering And Technology

An Improved Aging-Aware Reliable Vedic Multiplier with Novel Adaptive Hold Logic Circuits

Authors : Dr. Kamatchi S.

Publisher : International Journal of Printing, Packaging & Allied Sciences

Analysis of Symmetrical & Asymmetrical PWM Based Three Phase AC to AC Converter for Power Quality Improvement

Authors : Dr. Vidya H. A., Venkatesha K

Publisher : International Journal of Research in Engineering and Technology (IJRET)

Future Engineering Curricula: Balancing Domain Competence with CPS Readiness

Authors : Dr. Gireesh Kumar T., Dr. Manoj Kumar Panda, B. B. Nair; D. S. H. Ram; Manoj Kumar Panda; A. J. Balaji;; V. Mohan

Publisher : IEEE Design Test

Transient Error Correction Coding Scheme for Reliable Low Power Data Link Layer in NoC

Authors : Dr. M. Vinodhini, Dr. T. K. Ramesh, N. S. Murty

Publisher : IEEE Access, IEEE Institute of Electrical and Electronics Engineers

Optimization of digital predistortion models for RF power amplifiers using a modified differential evolution algorithm

Authors : Dr. R. V. Sanjika Devi, Dr. Dhanesh G. Kurup, Robin Kalyan; Bindu K. R;

Publisher : AEU – International Journal of Electronics and Communications

A novel reliability-based high performance decoding algorithm for short block length turbo codes

Authors : Dr. Salija P.

Publisher : International Journal of Ad Hoc and Ubiquitous Computing

Voice Conversion System Based on Deep Neural Networks

Authors : Dr. Susmitha Vekkot, Naveena V., Jeeva Priya K.

Publisher : Journal of Computational and Theoretical Nanoscience

A Real -Time Oral Cavity Gesture based Words Synthesizer using Sensors

Authors : Dr. Jyothish Lal. G., Dr. Paramasivam C., Palli Padmini,Sadeen Alharbi, and Kaustav Bhowmick

Publisher : Computers, Materials & Continua,2021. (SCIE Journal, IF: 3.772 Citescore: 4.6 Q1: 80 percentile).

Age-Based Automatic Voice Conversion Using Blood Relation for Voice Impaired

Authors : Dr. Jyothish Lal. G., Dr. Paramasivam C., Palli Padmini, Sadeen Alharbi, Kaustav Bhowmick

Publisher : Computers, Materials & Continua, Vol.70, No.2, 2022, pp.4027-4051 (SCIE Journal, IF: 3.772 Citescore: 4.6 Q1: 80 percentile)

A generic direct approach for decoding turbo codes using probability density based reliability model

Authors : Prof. Padmanabhan T. R., Dr. Salija P., Dr. Deepak Mishra

Publisher : Journal of Communications Technology and Electronics

Performance Analysis of VLC Indoor Positioning and Demonstration

Authors : Dr. Navin Kumar, Rishi Nandan, S.

Publisher : 2nd EAI International Conference on Big Data Innovation for Sustainable Cognitive Computing, Springer International Publishing,

Performance Analysis of Spectrum Sharing in mmWave Cellular Networks

Authors : Dr. Navin Kumar, Suresh Babu, Bandreddy

Publisher : 2nd EAI International Conference on Big Data Innovation for Sustainable Cognitive Computing, Springer International Publishing

Performance Analysis of Polar Codes for 5G Wireless Communication Network

Authors : Dr. Navin Kumar, Pechetti, Jyothirmayi; Hallingar, Bengt; Prasad, P. V. N. D.;

Publisher : 2nd EAI International Conference on Big Data Innovation for Sustainable Cognitive Computing, Springer International Publishing

An Adaptive Reliable Multipath Routing Protocol for WDM Networks

Authors : Dr. T. K. Ramesh, Dr. T. K. Ramesh and Vaya, P. R.

Publisher : IJCES

Design and analysis of the prototype of boiler for steam pressure control

Authors : Dr. Jalpa Shah, Akanksha Bhoursae; Nishith Bhatt

Publisher : International Conference on Mechanical and Industrial Engineering, 2014.

Probabilistic prediction based scheduling for delay sensitive traffic in internet of things

Authors : Dr. Navin Kumar, Sharma, R., Gowda, N.B., Srinivas, T.

Publisher : Procedia Computer Science

Modeling and Simulation of prototype of boiler drum level control

Authors : Dr. Jalpa Shah, Solanki Keyur; Nishith Bhatt

Publisher : International Conference on Mechanical and Industrial Engineering

JTAG Architecture with Multi Level Security

Authors : Kumar, Pooja Ajay; Kumar, P Sathish; Patwa, Aditi

Publisher : IOSR Journal of Computer Engineering

Design and Analysis of 16 Bit Reversible ALU

Authors : Ponni M., Lekshmi Viswanath

Publisher : IOSRJCE

Oscillation Test Methodology for Built-In Analog Circuits

Authors : Sankari, MS; Kumar, P Sathish

Publisher : International Journal Of Computational Engineering Research

Systolic array based motion estimation architecture of 3D DWT sub band component for video processing

Authors : Dr. Ganapathi Hegde, Vaya, P.

Publisher : International Journal of Signal and Imaging Systems Engineering

A Centralized Dynamic RWA Protocol for All-optical WDM Networks

Authors : Dr. T. K. Ramesh, Konda, S.K.; Swaraj Teja, M.; Veluguleti, H.; Vaya, P.R.

Publisher : European Journal of Scientific Research

Embedded Controller for Safety in Automobiles

Authors : Priya B. K., Harshita P, Sanjana Devraj, S Chandana Naga Deepthi, and Ms. Priya B. K

Publisher : BEST: International Journal of Management, Information Technology Engineering, (BEST: IJMITE)

Tensor-Based Spatial Smoothing (TB-SS) Using Multiple Snapshots

Authors : Dr. Arpita Thakre, M. Haardt; F. Roemer; K. Giridhar

Publisher : IEEE Transactions on Signal Processing

Dielectric connectors for multilayered RF integration

Authors : Dr. Dhanesh G. Kurup, A. Rydberg

Publisher : John Wiley & Sons

A Global RWA Protocol for WDM networks

Authors : Dr. T. K. Ramesh, Sandeep Kumar Konda; Vaya P R

Publisher : IJERA

Stability of an Underactuated Passive Biped Robot Using Partial Feedback Linearization Technique

Authors : Dr. Sreeja Kochuvila, Dr. Shikha Tripathi; Sudarshan, TSB

Publisher : Applied Mechanics and Materials, Trans Tech Publ,

Analytical Expressions for Spatial-Domain Green’s Functions in Layered Media

Authors : Dr. Dhanesh G. Kurup

Publisher : IEEE Transactions on Antennas and Propagation

Design of Control Circuit for Torsion Bar Testing Machine

Authors : Dr. P. Maran, Dr. P. Mathivanan, Dr. Maran Ponnambalam, E . Madhankumar; P . Mathivanan

Publisher : International Conference Workshop on Recent Trends in Technology

Distributed traffic grooming multipath routing algorithm for all optical WDM networks

Authors : Dr. T. K. Ramesh, S. Ashok; Bithil, K.B.; Nayanar, D.; Vaya, P.R.

Publisher : European Journal of Scientific Research

Microwave reflectivity analysis of bone mineral density using ultra wide band antenna

Authors : Dr. Dhanesh G. Kurup, Dr. Parul Mathur, Robin Augustine, Parul Mathur, Dr. Dhanesh G. Kurup

Publisher : Microwave and Optical Technology Letters, Wiley online,

Improving HRR in 3P–8P harmonic rejection mixer using modified input transconductance stage in hard switching mixer

Authors : Dr. P. Maran, Mythily Kanaga; Premanand Venkatesh Chandramani

Publisher : Analog Integr Circ Sig Process

Implementation of turbo code with early iteration termination in GNU radio

Authors : Dr. Salija P., Dr. Yamuna B.

Publisher : Journal of Telecommunication, Electronic and Computer Engineering, Universiti Teknikal Malaysia Melaka

Geometrical approach for emotion recognition from facial expressions using 4D videos and analysis on feature-classifier combination

Authors : Dr. Suja P., Dr. Shikha Tripathi

Publisher : International Journal of Intelligent Engineering and Systems

Feature Selection in Top-Down Visual Attention Model using WEKA.

Authors : Dr. Amudha J., Dr. Soman K. P., Kiran, Y

Publisher : International Journal of Computer Applications

Internet of Things enabled Environmental Monitoring System for Smart Cities

Authors : Dr. Jalpa Shah, Biswajit Mishra

Publisher : International Conference on Internet of Things and Applications (IOTA), Pune, 2016

Route-on-fly network-on-chip router design with soft-error tolerance

Authors : Dr. M. Vinodhini, Darshanala Sandeep

Publisher : International Conference on Intelligent Computing (ICIC) 2018

Energy Efficient and Secured Smart Car Parking System

Authors : Priya B. K., Ms. Priya B. K.; Mr. T. Sandeep; Ms. D. Vineela Chandra; Ms. V. Swarna

Publisher : The International Journal Of Science Technoledge (IJST)

Phase displacement study in MOSFET based ring VCOs due to heavy-ion irradiation using 3D-TCAD and circuit simulation

Authors : Dr. Maran Ponnambalam, N. Vinodhkumar; R. Srinivasan; Premanand Venkatesh Chandramani

Publisher : Microelectronics Reliability

Modified Scaling-Free CORDIC Based Pipelined Parallel MDC FFT and IFFT Architecture for Radix-22 Algorithm

Authors : Dr. Paramasivam C., K. B. Jayanthi

Publisher : World Academy of Science, Engineering and Technology, International Journal of Electrical, Computer, Energetic, Electronic and Communication Engineering

Comparative Performance Evaluation for DCF and CONTI MAC Schemes

Authors : Jayashree M., Jayasree M. Oli; Boppa, S.; Bandi, S.; Krothapalli, V.

Publisher : 2017 International Conference on Computer Communication and Informatics,

Frequency equation for the submicron CMOS ring oscillator using the first order characterization

Authors : Dr. T. K. Ramesh, Aravinda K; Dr. T. K. Ramesh

Publisher : Journal of Semiconductors

A Faster Phase Frequency Detector Using Transmission Gate-based Latch for the Reduced Response Time of the PLL

Authors : Dr. T. K. Ramesh, Aravinda K; Dr. T. K. Ramesh

Publisher : International Journal of Circuit Theory and Applications

Industrial Process Management Using LabVIEW

Authors : Dr. Paramasivam C., S.Venkatlakshmi; S.Venkatlakshmi; S Revathi; EMS Arul;

Publisher : IOSR Journal of Electronics and Communication Engineering

Energy Efficient FPGA Based VLSI Architecture for Mpeg-2 Audio/Video Decoding

Authors : Dr. Paramasivam C., C. Arul Murugan

Publisher : CIIT International Journal of Programmable Device Circuits and Systems

Implementation of low complex SOVA in GNU radio

Authors : Dr. Salija P., Durga, P.; Dr. Yamuna B.; Dr. Salija P.

Publisher : Elsevier

RFID Based Autonomous Mobile Vehicle

Authors : Oli, M Jayashree; Kumar, T Chetan Sai; Akshitha, K

Publisher : IJCTEE

APB based AHB interconnect testbench architecture using uvm_config_db

Authors : Sonali Agrawal, Dohare, N.

Publisher : International Journal of Control Theory and Applications.

Modified Bidirectional Converter with Current Fed Inverter

Authors : Dr. K. Deepa, Athira, S.;

Publisher : International Journal of Power Electronics and Drive Systems

Hyperspectral image classification improved with ELRMA denoising

Authors : Dr. Soman K. P., Dr. Nidhin Prabhakar T. V., G. Swamynadhan;

Publisher : International Journal of Control Theory and Applications.

An Adaptive Reliable Multipath Centralized RWA Algorithm for All-Optical WDM Networks

Authors : Dr. T. K. Ramesh, Sandeep Kumar Konda; M Swaraj Teja; Harshadeep; P R Vaya

Publisher : CiiT International Journal of Networking and Communication Engineering

Enhanced speech emotion detection using deep neural networks

Authors : Dr. Deepa Gupta, Dr. S. Lalitha, Tripathi, S.

Publisher : International Journal of Speech Technology

Underwater channel design for diver communication

Authors : Dr. T. K. Ramesh, Reshma, N.; Dr. T. K. Ramesh

Publisher : International Journal of Engineering and Technology(UAE)

New expansions of bessel functions of first kind and complex argument

Authors : Dr. Dhanesh G. Kurup, Aravinda K

Publisher : IEEE Transactions on Antennas and Propagation

Femtomagnetism in Graphene Induced by Core Level Excitation of Organic Adsorbates

Authors : Dr. Abhilash Ravikumar, Anu Baby; He Lin; Gian Paolo Brivio; Guido Fratesi

Publisher : Scientific reports, Nature Publishing Group.

Lattice Mismatch Drives Spatial Modulation of Corannulene Tilt on Ag (111)

Authors : Dr. Abhilash Ravikumar, Anu Baby; He Lin; Carla Bittencourt; Hermann A Wegner; Luca Floreano; Andrea Goldoni; Guido Fratesi

Publisher : The Journal of Physical Chemistry C

Stable configurations of graphene on silicon

Authors : Dr. Abhilash Ravikumar, Brahmanandam Javvaji; Bhamy Maithry Shenoy; D Roy Mahapatra; GM Hegde; MR Rizwan

Publisher : Applied Surface Science

Simulation of a Combat Platform Identification System and Comparative Study of Digital Modulation Techniques using GNU Radio and Python

Authors : Dr. Dhanesh G. Kurup, T. Bose; Sasidaran K.; B. B. Jha

Publisher : International Journal of Advanced Research in Computer and Communication Engineering

Attitude determination of spacecraft using FOAM and Kalman filtering

Authors : Dr. Sunitha R., Deeptha Shree, G.; Singh, V.; Dai, B.K.; R. Sunitha

Publisher : International Journal of Applied Engineering Research

Fingerprints of sp1 Hybridized C in the Near-Edge X-ray Absorption Spectra of Surface-Grown Materials

Authors : Dr. Abhilash Ravikumar, Guido Fratesi; Simona Achilli; Nicola Manini; Giovanni Onida; Anu Baby; Aldo Ugolotti; Gian Brivio; Alberto Milani; Carlo Casari

Publisher : Materials

Tuning Ultrafast Electron Injection Dynamics at Organic-Graphene/Metal Interfaces

Authors : Dr. Abhilash Ravikumar, Abhilash Ravikumar; Gregor Kladnik; Moritz Müller; Albano Cossaro; Gregor Bavdek; Laerte L Patera; Daniel Sánchez-Portal; Latha Venkataraman; Alberto Morgante; Gian Paolo Brivio; Dean Cvetko; Guido Fratesi

Publisher : Nanoscale

Femtomagnetism in Graphene Induced by Core Level Excitation of Organic Adsorbates and the Role of Electron Transfer

Authors : Dr. Abhilash Ravikumar, Anu Baby; He Lin; Gian Paolo Brivio; Guido Fratesi.

Publisher : Nanophotonics: principles and applications.

A Technical Survey on Underwater Communication

Authors : Dr. T. K. Ramesh, Saliq Afaque; Dasari Vishal;

Publisher : International Journal of Engineering Technology

Bin That Think’s

Authors : Priya B. K., Ms. T. Lavanya; Ms. V. Samyukta Reddy; Ms. Yarlagadda Pravallika

Publisher : The International Journal Of Science Technoledge (IJST)

Reliable Low Power NoC Interconnect

Authors : Dr. N. S. Murty, Dr. M. Vinodhini, Vinodhini, M.; Dr. N.S. Murty

Publisher : Microprocessors and Microsystems

Non Linear Dynamic Model with Varying Hip Height for Stable Walking of Biped Robot

Authors : Dr. Sreeja Kochuvila, Sreeja Balakrishnan; Shikha Tripathi; T. S. B. Sudarshan;

Publisher : International Journal of Robotics Automation, Acta Press

Co-Extrusion of Multilayer Glass Fiber-Optic Preforms: Prediction of Layer Dimensions in the Extrudate

Authors : Dr. Kaustav Bhowmick, Morvan, Herve P.; Furniss, David; Seddon, Angela B.; Benson, Trevor M.

Publisher : Journal of the American Ceramic Society

Performance of Vector Fitting Algorithm Applied to Bandpass and Baseband Systems

Authors : Dr. Dhanesh G. Kurup, Dr. N. S. Murty, Vrinda, K.; Dr. N.S. Murty;

Publisher : Circuits, Systems, and Signal Processing

Packet Scheduling Scheme to Guarantee QoS in Internet of Things

Authors : Dr. Navin Kumar, Sharma, R.; Gowda, N.B.; Srinivas, T.

Publisher : Wireless Personal Communications

Split-Ring Resonator Sensor Penetration Depth Assessment Using In Vivo Microwave Reflectivity and Ultrasound Measurements for Lower Extremity Trauma Rehabilitation.

Authors : Dr. Parul Mathur, Dr. Dhanesh G. Kurup, Shah, Syaiful Redzwan Mohd; Velander, Jacob; Parul Mathur; Perez, Mauricio D; Asan, Noor Badariah; Blokhuis, Taco J; Augustine, Robin

Publisher : Sensors (Basel)

Split-Ring Resonator Sensor Penetration Depth Assessment Using In Vivo Microwave Reflectivity and Ultrasound Measurements for Lower Extremity Trauma Rehabilitation

Authors : Dr. Dhanesh G. Kurup, S. R. M. Shah; J. Velander; P. Mathur; M. D. Perez; N. J. Asan; T. J. Blokhuis; R. Augustine

Publisher : Sensors, Multidisciplinary Digital Publishing Institute

Voice Controlled Home Appliances Using Embedded System

Authors : Dr. Paramasivam C., K, S., .K, S., .N, S., R, R., and Paramasivam, K. & C

Publisher : International Journal of Emerging Technology in Computer Science and Electronics

Compass Gait Biped Robot Based on Partial Feedback Linearization

Authors : Dr. Sreeja Kochuvila, Dr. Shikha Tripathi, and T.S.B, S.

Publisher : Springer Link

Parallel pipelined FFT architecture for real valued signals

Authors : Dr. Paramasivam C., V Suganya

Publisher : Proceedings on IEEE International Conference on Wireless Communications, Signal Processing and Networking (WiSPNET)

A new broadband Magic Tee design for Ka-Band Satellite Communications (Accepted)

Authors : Dr. Dhanesh G. Kurup, V. S. Kumar

Publisher : IEEE Microwave and Wireless Components Letters

A new approach for 1-D and 2-D DWT architectures using LUT based lifting and flipping cell

Authors : Dr. T. K. Ramesh, Ganapathi Hegde; Reddy, K.S.; Dr. T. K. Ramesh

Publisher : AEU – International Journal of Electronics and Communications

Employing Sustainable Advances in Technology to Address the Rising Unemployment levels in Muljipura, Madhya Pradesh

Authors : Sagar B., T Neethi Thevan; Yashaswini C Shekar; M Poojith; R Sai Surya; Nidhi Gangrade; Sagar Basavaraju; Renjith Mohan

Publisher : SpringerBriefs in Applied Sciences and Technology

An efficient method for computing the interaction of open ended circular waveguide with a layered media

Authors : Dr. Parul Mathur, Dr. Dhanesh G. Kurup, Parul Mathur; Dr. Dhanesh G. Kurup; M. D. Perez; S R M Shah; J. Velander; R. Augustine

Publisher : Progress in Electromagnetics Research Letters, Electromagnetics Academy

Dynamic Modeling, Optimal Control Design and Comparison between various control schemes of Home Refrigerator

Authors : Dr. Jalpa Shah, Patel Tigmanshu; Medy Satria

Publisher : International Journal of Current Engineering and Technology

Preheating of Furnace Feed Oil Using PLC

Authors : Dr. Jalpa Shah, Akanksha Bhourase; Keyur Solanki; Nishith Bhatt

Publisher : Procedia Technology

CORDIC based Pipelined Parallel Architecturte for RFFT and RIFFT

Authors : Dr. Paramasivam C., Kathir chandrabose K

Publisher : International journal of Applied Engineering Research

Optimum energy efficient error control techniques in wireless systems: a survey

Authors : Dr. Salija P., Dr. Yamuna B., Dr. Salija P.; Dr. Yamuna B.

Publisher : Maik Nauka-Interperiodica Publishing

Analysis of Pointing Error on Satellite Link using GNU Radio

Authors : Dr. Dhanesh G. Kurup, Roopa R.; Priyadarshini S.;

Publisher : International Journal of Recent and Innovation Trends in Computing and Communication Engineering (IJRICC)

Performance analysis of EPC Global Gen-2 RFID System Using GNU Radio

Authors : Dr. Dhanesh G. Kurup, T. Kiran; Venu Teja; M. Aditya; B. B. Jha

Publisher : International Journal of Emerging Technology and Advanced Engineering IJETAE

Comprehensive Track Segment Association for Improved Track Continuity

Authors : Dr. Raghu J. (Mandya), J. Raghu; P. Srihari; R. Tharmarasa; T. Kirubarajan

Publisher : IEEE Transactions on Aerospace and Electronic Systems

Multiple model stochastic filtering for traffic density estimation on urban arterials

Authors : Dr. Manoj Kumar Panda, Dong Ngoduy; Hai Le Vu

Publisher : Transportation Research Part B: Methodological, Elsevier Ltd,

Design of an unequally spaced reflect-array

Authors : Dr. Dhanesh G. Kurup, M. Himdi; A. Rydberg

Publisher : IEEE Antennas and Wireless Propagation Letters

Automated irrigation system with partition facility for effective irrigation of small scale farms

Authors : Dr. T. K. Ramesh, Mohan V.; Praveen Kumar V.; Thejesh K.

Publisher : Ecology, Environment and Conservation Paper

Amplifying active reflect-antenna using a microstrip-T coupled patch design and measurement

Authors : Dr. Dhanesh G. Kurup, A. Rydberg

Publisher : IEEE Transactions on Microwave Theory and Techniques

Analysis of emotion recognition from facial expressions using spatial and transform domain methods

Authors : Dr. Suja P., Dr. Shikha Tripathi

Publisher : International Journal of Advanced Intelligence Paradigms, Inderscience Publishers

VLSI implementation of the video encoder using an efficient 3-D DCT algorithm

Authors : Dr. Ganapathi Hegde, Vaya, PR.;Dr. Shikha Tripathi.

Publisher : International Journal of Electronics Letters, Taylor & Francis.

Design and Performance Analysis of Poly Synchronous DTI FIFO

Authors : Kirti S. Pande, Anju C.

Publisher : Rising Research Journal Publication

Design, Implementation and Performance Analysis of an Integrated Vedic Multiplier Architecture

Authors : Kirti S. Pande, Ramachandran, S

Publisher : International Journal of Computational Engineering Research

Design of a Control logic in a DynamicReconfigurable System

Authors : Prasannakumar, A., N.V.S. Murty

Publisher : IOSRJECE

Synthesis of uniform amplitude unequally spaced antenna arrays using the differential evolution algorithm

Authors : Dr. Dhanesh G. Kurup, M. Himdi, and A. Rydberg

Publisher : IEEE Transactions on Antennas and Propagation

Ocular Spot Fluorometer Equipped With a Lock-In Amplifier for Measurement of Aqueous Flare.

Authors : Dr. R. Karthikeyan, Sirisha Tadepalli, Sudhir, Rachapalle Reddi; Murthy, P Pavani; Murugan, Subashree; Padmanabhan, Prema; Krishnamurthy, Ashwini; Dickinson, Stephanie L; Kompella, Uday B; Srinivas, Sangly P

Publisher : Translational vision science & technology

Predictive, Miniature Co-Extrusion of Multilayered Glass Fiber-Optic Preforms

Authors : Dr. Kaustav Bhowmick, Furniss, David; Morvan, Herve P.; Seddon, Angela B.; Benson, Trevor M.

Publisher : Journal of the American Ceramic Society

Affective state recognition using audio cues

Authors : Dr. Murali Krishna P., Dr. S. Lalitha, Murali Krishna, P.; Pradeep Reddy, R.; Narayanan, V.; S. Lalitha; Gupta, D.

Publisher : Journal of Intelligent and Fuzzy Systems, IOS Press

Information Criteria Based Optimal Structure Identification of RF Power Amplifier Models

Authors : Dr. Dhanesh G. Kurup, Dr. R. V. Sanjika Devi, Bhavanam, S.R.; Mudulodu, S.

Publisher : Journal of Intelligent and Fuzzy Systems, IOS Press .

Aerial image classification using regularized least squares classifier

Authors : Dr. Soman K. P., Dr. Nidhin Prabhakar T. V., Chandran, S.; Sajith Variyar V. V.;

Publisher : Journal of Chemical and Pharmaceutical Sciences

Detection and Correction of Multiple Upsets in Memories Using Modified Decimal Matrix Code

Authors : Dr. Kamatchi S., C. Vivekanandan; B. Thilagavathi

Publisher : Journal of Computational and Theoretical Nanoscience

Skew Estimation in Document Images Using Wigner-Ville Time-Frequency Functions

Authors : Dr. Shikha Tripathi, Pawan, Arvind; Bansal, Aditi

Publisher : CiiT International Journal of Digital Image Processing

Single Snapshot Spatial Smoothing With Improved Effective Array Aperture

Authors : Dr. Arpita Thakre, M. Haardt; K. Giridhar

Publisher : IEEE Signal Processing Letters

New Quantization Based Watermarking Scheme For Digital Images

Authors : Dr. Shikha Tripathi, Husami, Nasrullah; Desai, Ajay Vamsi; Satija, Aaditya

Publisher : Cybernetics & Informatics

Performance Analysis of Femtocell on Channel Allocation

Authors : Dr. Navin Kumar, Sagar B., Lalapeta, M.;

Publisher : Lecture Notes of the Institute for Computer Sciences, Social-Informatics and Telecommunications Engineering, LNICST

System Level Performance Analysis of Designed LNA and Down Converter for IEEE 802.11ad Receiver

Authors : Dr. Navin Kumar, Pournamy, S.;

Publisher : Lecture Notes of the Institute for Computer Sciences, Social-Informatics and Telecommunications Engineering, LNICST

FPGA Based Real Time Bluetooth Communication for Tele health, Household Security and Industry Safety

Authors : Dr. Paramasivam C., E. Aravindhan; R. Hari priya; M. Hema; A. Chandrabhan singh

Publisher : Proceedings on International Conference on Modern Global Research in Engineering Technology (ICMGRET)

An epitomization of stress recognition from speech signal

Authors : Dr. S. Lalitha

Publisher : International Journal of Engineering and Technology(UAE)

Performance analysis of minimum volume based geometrical approaches for spectral unmixing

Authors : Dr. Geetha Srikanth, Dr. Soman K. P., Dr. Nidhin Prabhakar T. V., Bijitha S. R.;

Publisher : International Journal of Science, Engineering and Technology Research (IJSETR)

Performance evaluation of statistical andgeometrical algorithms for spectral unmixing of hyperspectraldata

Authors : Dr. Soman K. P., Dr. Nidhin Prabhakar T. V., Bijitha S. R.; Dr. Nidhin Prabhakar T. V.; Geetha P.

Publisher : International journal of engineering research and technology

Design of microwave amplifier using non-resonant slot matching

Authors : Dr. Dhanesh G. Kurup, A. Rydberg

Publisher : IEEE Electronics Letters, IET

Transmission line model for field distribution in microstrip line fed H-slots

Authors : Dr. Dhanesh G. Kurup, A. Rydberg; M. Himdi

Publisher : IEEE Electronics Letters, IET

DSP Implementation of QPSK and 8-QAM Transmitter for Software Defined Radio

Authors : Dr. Shikha Tripathi, Mathuranathan, V; Satyanarayan, MN

Publisher : The IUP Journal of Telecommunications

Core Level Spectra of Organic Molecules Adsorbed on Graphene

Authors : Dr. Abhilash Ravikumar, Gian Paolo Brivio; Guido Fratesi

Publisher : Materials

Challenges to developing a secure, cloud-based offline mobile application

Authors : Dr. Navin Kumar, Patra, S.K.;

Publisher : Lecture Notes of the Institute for Computer Sciences, Social-Informatics and Telecommunications Engineering, LNICST

Compact microstrip-T coupled patch antenna for dual polarisation and active antenna applications

Authors : Dr. Dhanesh G. Kurup, A. Rydberg; M. Himdi

Publisher : IEEE Electronics Letters, Institution of Engineering and Technology

Selective tuning visual attention model

Authors : Dr. Amudha J., Dr. Soman K. P.

Publisher : International Journal of Recent Trends in Engineering

Saliency based visual tracking of vehicle

Authors : Dr. Amudha J., Dr. Soman K. P.

Publisher : International Journal of Recent Trends in Engineering

Addressing Higher Order Serial Correlation in Techniques for Gross Error Detection

Authors : Jeyanthi R., Prof. Sriram Devanathan

Publisher : Special Issue on Intelligent Computing : Journal of Computational and Theoretical Nanoscience .

An Efficient Early Iteration Termination for Turbo Decoder

Authors : Dr. Salija P., Dr. Yamuna B.

Publisher : Journal of Telecommunications and Information Technology, p.113-122 and 112

GPU Accelerated Stratified Squamous Epithelium Biopsy Image Segmentation for OSCC Detector and Classifier

Authors : Dr. Navin Kumar, Archana N., VYS Lakshmi

Publisher : Springer Journal on Signal processing and Control

Conference Paper

Optimisation of Source Selection and Design of High Frequency LCL Based Wireless Power Transmission Array

Authors : Dr. Anand R, Harshavardhan Yadav Gangadhara; Ramprabhakar J

Publisher : IEEE 3rd Global Conference for Advancement in Technology (GCAT)

Designing a Wearable Jacket for the Visually Impaired People

Authors : Dr. Anand R, Reddi Vivek Vardhan; Katam Jayacharan Kalyan; Boyapalli Sree Kanth Reddy

Publisher : 6th International Conference on Computation System and Information Technology for Sustainable Solutions (CSITSS),

Comparative Deep Network Analysis of Speech Emotion Recognition Models using Data Augmentation

Authors : Dr. Susmitha Vekkot, Prasanna, Y. L., Tarakaram, Y., Mounika, Y., Palaniswamy, S.

Publisher : IEEE

Comparative study of Deep Classifiers for Early Dementia Detection using Speech Transcripts

Authors : Dr. Susmitha Vekkot, Dr. S. Lalitha, Nambiar, A. S., Likhita, K., Pujya, K. S., Gupta, D.

Publisher : IEEE

Tamil Speech Recognition Using XLSR Wav2Vec2. 0 & CTC Algorithm

Authors : Dr. Susmitha Vekkot, Akhilesh, A., Brinda, P., Keerthana, S., Gupta, D.

Publisher : IEEE

Word-Level Speech Dataset Creation for Sourashtra and Recognition System Using Kaldi

Authors : Dr. Susmitha Vekkot, Vancha, P., Nagarajan, H., Inakollu, V. S., Gupta, D

Publisher : IEEE

Telugu Dialect Speech Dataset Creation and Recognition using Deep Learning Techniques

Authors : Dr. Susmitha Vekkot, Podila, R. S. A., Kommula, G. S. S., Ruthvik, K., Gupta, D.

Publisher : IEEE

Complex Binary Number System-based Co-Processor Design for Signal Processing Applications

Authors : Dr. Ramesh Chinthala, Santosh, Sudia Sai, Tandyala Sai Swaroop, Tangudu Kavya

Publisher : IEEE

Cost Efficient Location Tracking and Health Monitoring System for Soldier Safety

Authors : Dr. Ramesh Chinthala, Raghu J. Mandya,Garugu Sai Kiran Reddy et. al

Publisher : IEEE

Facial Expression Recognition System with Local Binay Feaures of Neural Network

Authors : Dr. N. Neelima, Anju Das

Publisher : International Conference on Data Science and Network Security (ICDSNS)

Accuracy comparison of Neural models for spelling correction in handwriting OCR data

Authors : Dr. N. Neelima, Shivalila H, Peeta Basa Pati

Publisher : Lecture Notes in Electrical Engineering

Real-Time Indian Sign Language Recognition using Image Fusion

Authors : Dr. N. Neelima, Tejaswini Kurre, Tejasvi Katta, Sai Abhinivesh Burla

Publisher : International Conference on Communication and Cyber Physical Engineering

Arrhythmia detection—An Enhanced Method Using Gramian Angular Matrix for Deep Learning

Authors : Dr. Manoj Kumar Panda, Krishnan, Keerthana, R. Gandhiraj

Publisher : Congress on Intelligent Systems

Optimized Hazard Free Pipelined Architecture Block for RV32I RISC-V Processor

Authors : Dr. Manoj Kumar Panda, Dharsni, I. Thanga, Kirti S. Pande

Publisher : 2022 3rd International Conference on Smart Electronics and Communication (ICOSEC)

Improving Pneumonia Detection Using Segmentation and Image Enhancement

Authors : Dr. Manoj Kumar Panda, Thipakaran, Ethiraj, R. Gandhiraj

Publisher : Congress on Intelligent Systems

MTCMOS 8T SRAM Cell with Improved Stability and Reduced Power Consumption

Authors : Kirti S. Pande, S. Anusha, B. S. Nikhil, K. S. Manoj

Publisher : IEEE International Conference on Distributed Computing, VLSI, Electrical Circuits and Robotics (DISCOVER)

Strong Single-Arm Latch Comparator with Reduced Power Consumption

Authors : Kirti S. Pande, G. Jithin, G. B. V. S. V. Prasad, J. V. N. S. Krishna

Publisher : ICECCT

Leakage Reduction in DT8T SRAM Cell Using Body Biasing Technique

Authors : Kirti S. Pande, Suthar, Rajani; K.S. Pande; Murty, N.S.

Publisher : 2017 IEEE International Symposium on Nanoelectronic and Information Systems (iNIS)

All digital phase locked loop with input clock fail detector

Authors : P. Satish Kumar, Aswathi, T V; P. Sathish Kumar

Publisher : 2015 IEEE International Conference on Computational Intelligence and Computing Research (ICCIC),

high-speed-error-detection-and-correction-architectures-for-viterbi-algorithm-implementation/

Authors : P. Satish Kumar, A. Kumar Reddy and P. Sathish Kumar

Publisher : International conference on communication and Electronics System

Implementation of Viterbi coder for text to speech synthesis

Authors : P. Satish Kumar, Padmesh, M L; P. Sathish Kumar

Publisher : 2015 IEEE International Conference on Computational Intelligence and Computing Research (ICCIC)

High Speed Error-Detection and Correction Architectures for Viterbi Algorithm Implementation

Authors : P. Satish Kumar, Kumar, A Karthik; P. Sathish Kumar

Publisher : 2019 3rd International Conference on Electronics, Materials Engineering Nano-Technology (IEMENTech)

Low Complexity LDPC Error Correction Code for Modified Anderson PUF to Improve its Uniformity

Authors : P. Satish Kumar, kalya, Manasa; P. Sathish Kumar

Publisher : 2020 International Conference on Smart Electronics and Communication (ICOSEC)

Pulse based Acyclic Asynchronous Pipelines for Combinational Logic Circuits

Authors : P. Satish Kumar, S. Kumar

Publisher : 2018 International Conference on Computer Communication and Informatics (ICCCI),

Implementation of modified Dual-CLCG Method for Pseudorandom bit Generation

Authors : P. Satish Kumar, Sunandha, B; P. Sathish Kumar

Publisher : 2020 International Conference on Smart Electronics and Communication (ICOSEC),

Satellite Image Classification Using Unsupervised Learning and SIFT

Authors : Giriraja C. V., Giriraja C. V.; Haswanth, Avula; Srinivasa, C.; JayaRam, T. K.; Krishnaiah, P.

Performance Analysis of Virtual MIMO in Wireless Sensor Networks

Authors : Giriraja C. V., Meghana, Mynampati; Kumar, Navin; Giriraja C. V.

Publisher : 2019 IEEE International Conference on Electronics, Computing and Communication Technologies (CONECCT)

Frame by Frame Analysis for Counting of Vehicles from Aerial Video

Authors : Giriraja C. V., Shree Adhithya, N.; Sunil Kumar, C.C; Devendra, V; Giriraja C. V.

Publisher : 2018 3rd International Conference on Communication and Electronics Systems

Hand Gesture Recognition Using Otsu’s Method

Authors : Bhavana V., Bhavana V.; Surya Mouli, G Mohana; Lakshmi Lokesh, G Venkata

Publisher : 2017 IEEE International Conference on Computational Intelligence and Computing Research (ICCIC)

CNN Based Aerial Image processing model for Women Security and Smart Surveillance

Authors : Giriraja C. V., Dandamudi, Aadesh Guru Bhakt; Vasumithra, Gorrepati; Praveen, Gangisetti; Giriraja C. V.

Publisher : 2020 Third International Conference on Smart Systems and Inventive Technology (ICSSIT)

Multiplier Using NAND Based Compressors

Authors : Kirti S. Pande, Satish, Tella; K.S. Pande

Publisher : 2019 3rd International Conference on Electronics, Materials Engineering Nano-Technology (IEMENTech)

SQAC Using Folding-Merging Based Squarer

Authors : Kirti S. Pande, M., Hemanth; K., Naveen; P., Mohan; K.S. Pande

Publisher : 2019 3rd International conference on Electronics, Communication and Aerospace Technology (ICECA),

NMOS Only Schmitt Trigger Based SRAM Cell

Authors : Kirti S. Pande, Adithi, R.; Dambal, Soumya; K.S. Pande

Publisher : 2019 3rd International conference on Electronics, Communication and Aerospace Technology (ICECA),

Critical Path Delay Improvement in Logic Circuit Operated at Subthreshold Region

Authors : Kirti S. Pande, Dhirubhai, Limbasiya Mohit; K.S. Pande

Publisher : 2019 International Conference on Communication and Electronics Systems (ICCES)

Medical Image Fusion Techniques Using Discrete Wavelet Transform

Authors : Bhavana V., Prasad, Pournami; Subramani, Surekha; Bhavana V.; Krishnappa, H.K

Publisher : 2019 3rd International Conference on Computing Methodologies and Communication (ICCMC)

Medical Image Registration Using Landmark Registration Technique and Fusion

Authors : Bhavana V., Revathy, R.; Venkata Achyuth Kumar, S.; Vijay Bhaskar Reddy, V.; Bhavana V.

Publisher : Computational Vision and Bio-Inspired Computing, Springer International Publishing,

Multimodal Medical Image Fusion Enhancement Technique for Clinical Diagnosis

Authors : Bhavana V., Asish Reddy, K Sai; Kalyan Kumar, K; Kumar, K. Naveen; Bhavana V.; Krishnappa, H. K

Publisher : 2019 3rd International Conference on Computing Methodologies and Communication (ICCMC)

Technology aided alert system for medical emergencies using WSN

Authors : Bhavana V., Ganapathy, S.; Ashwin, A. R.; Anudeep, Gottipalli; Bhavana V.

Publisher : 2020 Third International Conference on Smart Systems and Inventive Technology (ICSSIT)

A Survey on Advanced Segmentation Techniques in Image Processing Applications

Authors : Bhavana V., Chandra, J. Naveen; Supraja, B. Sai; Bhavana V.

Publisher : 2017 IEEE International Conference on Computational Intelligence and Computing Research (ICCIC)

Speed Improvement in SRAM Cell Using Transmission Gates

Authors : Kirti S. Pande, Swetha, P; Meghana, P Sai; Charisma, Jonnala; K.S. Pande

Publisher : 2020 IEEE International Conference on Distributed Computing, VLSI, Electrical Circuits and Robotics (DISCOVER)

Smart Waste Management System Using IoT

Authors : Bhavana V., Pavan Sankeerth, V.; Santosh Markandeya, V.; Sri Ranga, E.; Bhavana V.

Understanding the neural mechanism of sleep using wavelets and multifractal techniques

Authors : Dr. Sunitha R., R. Sunitha; Pradhan, N.; Padmaja, K.V

Publisher : Computing and Networking Technologies

Analysis of the dynamic behaviour of a single Hodgkin-Huxley neuron model

Authors : Dr. Sunitha R., Dhanya E; Pradhan, N.; R. Sunitha; Sreedevi, A.

Publisher : 2015 International Conference on Emerging Research in Electronics, Computer Science and Technology (ICERECT)

Power spectral scaling and wavelet entropy as measures in understanding neural complexity

Authors : Dr. Sunitha R., Dhanya, E.; R. Sunitha; Pradhan, N.

Publisher : 2015 Annual IEEE India Conference (INDICON),

Virtual Instrumentation Based Fetal ECG Extraction

Authors : Dr. Sunitha R., Chinmayee G. Raj; V. Sri Harsha; B. Sai Gowthami; R. Sunitha

Publisher : Procedia Computer Science

Single Channel Electromyography Controlled Wheelchair Implemented in Virtual Instrumentation

Authors : Dr. Sunitha R., Bhakt Dandamudi, Aadesh Guru; Navaneet Rao, Dhage; Aravilli, Vijay Padmakar; R. Sunitha

Publisher : 2019 3rd International Conference on Computing Methodologies and Communication (ICCMC)

Design of Power Efficient Fault Tolerant Registers using Modified Hybrid Protection Technique

Authors : Sonali Agrawal, Katti, Meghana M; S. Agrawal

Publisher : 2019 3rd International Conference on Electronics, Materials Engineering Nano-Technology (IEMENTech),

A Speed Efficient FIR Filter for Reconfigurable Applications

Authors : Sonali Agrawal, Menon, Navya V; S. Agrawal

Publisher : 2017 IEEE International Conference on Computational Intelligence and Computing Research (ICCIC)

Efficient Dynamic Virtual Channel Architecture for NoC Systems

Authors : Sonali Agrawal, Avani, P;

Publisher : 2018 International Conference on Advances in Computing, Communications and Informatics (ICACCI),

RSA Cryptosystem with Modified Montgomery Modular Multiplier

Authors : Sonali Agrawal, Mahapatra, Priyanka Priyadarsini; S. Agrawal

Publisher : 2017 IEEE International Conference on Computational Intelligence and Computing Research (ICCIC)

Multipumping-Enabled Multiported SRAM Based Efficient TCAM Design

Authors : Sonali Agrawal, Santhosh, Ashwin; S. Agrawal

Publisher : 2020 4th International Conference on Electronics, Materials Engineering Nano-Technology (IEMENTech)

High speed, Low power Approximate Multipliers

Authors : Sonali Agrawal, Varma, Kamya R;

Publisher : 2018 International Conference on Advances in Computing, Communications and Informatics

Analysis of High Speed Radix-4 Serial Multiplier

Authors : Sonali Agrawal, Kumar, B.V.N Tarun; Chitiprolu, Aravind; Reddy, G Hemanth Kumar; S. Agrawal

Publisher : 2020 Third International Conference on Smart Systems and Inventive Technology (ICSSIT)

Design of Efficient 2–4 Modified Mixed Logic Design Decoder

Authors : Sonali Agrawal, Arya, Rohit Kumar; S. Agrawal

Publisher : 2019 International Conference on Communication and Electronics Systems (ICCES)

A Robust Code for MBU Correction Till 5-Bit Error

Authors : Sonali Agrawal, Sai Karan, K.; Srikanth, N.; S. Agrawal

Publisher : 2019 International Conference on Communication and Electronics Systems (ICCES)

Efficient Floating-Point HUB Adder For FPGA

Authors : Sonali Agrawal, Lahari, Machupalli; S. Agrawal

Publisher : 2020 4th International Conference on Electronics, Materials Engineering Nano-Technology (IEMENTech)

An Efficient Sorting Techniques for Priority Queues in High-Speed Networks

Authors : Sonali Agrawal, Meenakshi, U.; Aishwarya, P.M.; Keerthi, R. Vani; S. Agrawal

Publisher : 2019 3rd International conference on Electronics, Communication and Aerospace Technology (ICECA)

Design and Implementation of Real time monitoring Using Arduino based Indoor Artificial Environment

Authors : Priya B. K., Akhila S.; Nithyan, Shriya; Sowmya, C; Ms. Priya B. K.

Publisher : 2019 International Conference on Communication and Electronics Systems (ICCES)

Constellation Design for Dual-Mode OFDM-IM

Authors : Latha, Sridhar, Shilpa; Latha; Thakre, Arpita

Publisher : 2020 Fourth International Conference on Computing Methodologies and Communication (ICCMC)

Performance of Dual mode OFDM-IM using Reduced Complexity Receiving Technique

Authors : Latha, Latha and Arpita Thakre

Publisher : IEEE ICACCI Sept. , 2018.

Error Detection and Correction Using RP SEC-DED

Authors : Kirti S. Pande, Farheen, Nadia; K.S. Pande

Publisher : 2020 4th International Conference on Electronics, Materials Engineering Nano-Technology (IEMENTech)

Stress Recognition Using Sparse Representation of Speech Signal for Deception Detection Applications in Indian Context

Authors : Dr. S. Lalitha, Aswathi Varsha, K T K; S. Lalitha

Publisher : 2017 IEEE International Conference on Computational Intelligence and Computing Research (ICCIC),

Compressor Using Full Swing XOR Logic Gate

Authors : Kirti S. Pande, Bandarupalli, Sri Harsha; Kalyan Bandi, Bala Pavan; Reddy Boggula, Rahul Kumar; K.S. Pande

Publisher : 2020 IEEE International Conference on Distributed Computing

Low Power Rail to Rail D Flip-Flop Using Current Mode Logic Structure

Authors : Kirti S. Pande, Mutukuri, Srivatsa; K.S. Pande

Publisher : 2020 4th International Conference on Electronics, Materials Engineering Nano-Technology (IEMENTech)

Personality Identification Using Auditory Nerve Modelling of Human Speech

Authors : Dr. S. Lalitha, Gokul, K; S. Lalitha

Publisher : 2018 International Conference on Advances in Computing, Communications and Informatics (ICACCI)

Design of Control System for a Smart Coconut Dryer

Authors : Jeyanthi R., S. A., Ashwin, R., C., V., and Jeyanthi R.

Publisher : i-PACT

Affective State Recognition using Image Cues

Authors : Dr. S. Lalitha, Reddy, R Pradeep; Krishna, P Murali; Narayanan, Veena;

Publisher : 2018 International Conference on Advances in Computing, Communications and Informatics

Affective computing using speech processing for call centre applications

Authors : Dr. S. Lalitha, Gowda, Rakshith K.; Nimbalker, Vandana; Lavanya, R.; S. Lalitha; Tripathi, Shikha

An Encapsulation of Vital Non-Linear Frequency Features for Various Speech Applications

Authors : Dr. S. Lalitha, S. Lalitha; Gupta, Deepa

Publisher : International Conference on Intelligent Computing (ICIC) 2018, Amrita School of Engineering,

Improving the Reliability of Embedded Memories using ECC and Built-In Self-Repair Techniques

Authors : Dr. M. Vinodhini, Manasa, R; Ganapathi Hegde;

Publisher : 2018 International Conference on Electrical, Electronics, Communication, Computer, and Optimization Techniques

Weight Based Segmentation of Scan Cells for Efficient ATPG Technique

Authors : Dr. M. Vinodhini, Aswathy, P.; M. Vinodhini; Vipin, K.

Publisher : 2019 3rd International Conference on Computing Methodologies and Communication (ICCMC)

Logic Encryption of Combinational Circuits

Authors : Dr. M. Vinodhini, Pritika, K; M. Vinodhini

Publisher : 2019 3rd International Conference on Electronics, Materials Engineering Nano-Technology (IEMENTech)

Efficient Multi-Bit Error Tolerant design for MVM

Authors : Dr. M. Vinodhini, Hitesh, P G; Venkatesh, Pasam; Thirumal Reddy, P Sai; M. Vinodhini

Publisher : 2019 3rd International conference on Electronics, Communication and Aerospace Technology (ICECA)

Fast Error Correction for Header Flit in NoC

Authors : Dr. M. Vinodhini, Taraka Sai Srinatha Reddy, V.; Hema Sekhar Reddy, G.; Jeshmitha Reddy, K.; M. Vinodhini

Publisher : 2019 International Conference on Communication and Electronics Systems (ICCES)

Anti-Hijacking system using Raspberry Pi

Authors : Jayashree M., Rishhabh Naik, Surampalli Vaishnavi, Jayasree M. Oli

Publisher : 2019 International Conference on Smart Systems and Inventive Technology (ICSSIT),

Improved High Speed Approximate Multiplier

Authors : Dr. M. Vinodhini, Roshini, T.; Krishna, R.Sai; Reddy, P.Kaushik; M. Vinodhini

Publisher : 2020 4th International Conference on Electronics, Materials Engineering Nano-Technology (IEMENTech)

2D Mapping Robot using Ultrasonic Sensor and Processing IDE

Authors : Dr. M. Vinodhini, Jayashree M., H., Rakshith; Adithi, R.; M. Vinodhini; Jayasree M. Oli

Publisher : 2019 International Conference on Vision Towards Emerging Trends in Communication and Networking (ViTECoN)

Matrix based Error Detection and Correction using Minimal Parity Bits for Memories

Authors : Dr. M. Vinodhini, Kumar, Konda Nandan; Reddy, NVS Anvesh; Shanmukh, Peela; M. Vinodhini

Publisher : 2020 IEEE International Conference on Distributed Computing, VLSI, Electrical Circuits and Robotics (DISCOVER)

Low cost flex powered gesture detection system and its applications

Authors : Dr. Ramesh Chinthala, Telluri, Pavan; Manam, Saradeep; Somarouthu, Sathwic; Jayasree M. Oli; Dr. Ramesh Chinthala

Publisher : 2020 Second International Conference on Inventive Research in Computing Applications (ICIRCA)

Area Efficient Architecture for high speed wide data adders in Xilinx FPGAs

Authors : Dr. Ramesh Chinthala, Aswini; Dr. Ramesh Chinthala; Murty, N.S.

Publisher : 2019 International Conference on Computer Communication and Informatics (ICCCI)

Implementation of an Area Efficient High Throughput Architecture for Sparse Matrix LU Factorization

Authors : Dr. Ramesh Chinthala, Kumar, Gurrala Purushotham; Dr. Ramesh Chinthala

Publisher : 2019 3rd International Conference on Electronics, Materials Engineering Nano-Technology (IEMENTech)

High Throughput Basic-Set Trellis Min–Max Non-Binary LDPC Code Decoder Architecture over GF(4)

Authors : Dr. Ramesh Chinthala, Kumar, Chittibhotla Chandan; Dr. Ramesh Chinthala

Publisher : 2020 4th International Conference on Electronics, Materials Engineering Nano-Technology (IEMENTech)

Integration of SDN Controller, Time-Sliding Window, and Quantum Key Distribution with Resource Allocation Strategy in Optical Networks for High Security

Authors : Dr. T. K. Ramesh, Rao, P. L. Sindhuja; Santosh, K. V. V. N. D.; Dr. T. K. Ramesh

Publisher : 2017 International Conference on Communication and Signal Processing (ICCSP)

Smart parking system for commercial stretch in cities

Authors : Dr. T. K. Ramesh, Kanteti, Dharmini; Srikar, D. V. S.; Dr. T. K. Ramesh

Publisher : 2017 International Conference on Communication and Signal Processing (ICCSP)

Active Learning Techniques and Impacts

Authors : Dr. T. K. Ramesh, Kanteti, Dharmini; Srikar, D.V.S.; Dr. T. K. Ramesh

Publisher : 2017 5th IEEE International Conference on MOOCs, Innovation and Technology in Education (MITE)

IoT-driven road safety system

Authors : Dr. T. K. Ramesh, Vishal, Dasari; Afaque, H. Saliq; Bhardawaj, Harsh; Dr. T. K. Ramesh

Publisher : 2017 International Conference on Electrical, Electronics, Communication, Computer, and Optimization Techniques (ICEECCOT)

A Mobile Integrated Classroom

Authors : Dr. T. K. Ramesh, Vishal, Dasari; Afaque, H. Saliq; Vishnu, D. Saketh; Dr. T. K. Ramesh

Publisher : 2017 5th IEEE International Conference on MOOCs, Innovation and Technology in Education (MITE)

Sign language to speech conversion

Authors : Dr. T. K. Ramesh, V. D, Aishwarya, H. M., K, N., T, R. B., and Dr. T. K. Ramesh

Publisher : 8th IEEE International Conference on Computational Intelligence and Computing Research, ICCIC 2017

Underwater diving and diver health concerns a survey

Authors : Dr. T. K. Ramesh, Reshma, N; Dr. T. K. Ramesh

Publisher : 2017 International Conference on Technological Advancements in Power and Energy ( TAP Energy)

Coordinates Based Clustering Technique in Device to Device Communication

Authors : Giriraja C. V., Dr. T. K. Ramesh, Sai, K Venkata; Reddy, K Bharath;

Publisher : 2018 3rd IEEE International Conference on Recent Trends in Electronics, Information Communication Technology (RTEICT)

Intelligent smart parking algorithm

Authors : Dr. T. K. Ramesh, Kanteti, Dharmini; Srikar, D V S; Dr. T. K. Ramesh

Publisher : 2017 International Conference On Smart Technologies For Smart Nation (SmartTechCon)

A Survey on scheduling algorithm for downlink in LTE cellular network

Authors : Dr. T. K. Ramesh, Dr. T. K. Ramesh

Publisher : 2019 International Conference on Smart Systems and Inventive Technology (ICSSIT)

Security Enhanced and Over Head Reduction Algorithm for Vehicular Networks

Authors : Dr. T. K. Ramesh, Jayashree M., Jayasree M. Oli; Dr. T. K. Ramesh

Publisher : 2019 International Conference on Smart Systems and Inventive Technology (ICSSIT

A survey on Data Interoperability Enhancement in IoT based system

Authors : Dr. T. K. Ramesh, D. V. K

Publisher : IEEE conference proceedings of ICEECCOT

Data Interoperability Enhancement of Electronic Health Record data using a hybrid model

Authors : Dr. T. K. Ramesh, Daliya, V.K.; Dr. T. K. Ramesh

Publisher : 2019 International Conference on Smart Systems and Inventive Technology (ICSSIT)

Driver Drowsiness Monitoring System

Authors : Dr. N. Neelima, Raju, J. V. V. S. N.; Rakesh, P.; Dr. N. Neelima

Publisher : Intelligent Manufacturing and Energy Sustainability

Effective Energy Consumption Strategy For Smart Homes

Authors : Dr. T. K. Ramesh, S. Kalyan, Pratyusha, V., Bhavana, Y., and Dr. T. K. Ramesh

Publisher : International Conference on Smart Systems and Inventive Technology (ICSSIT)

Design and analysis of an optical transit network

Authors : Dr. T. K. Ramesh, Sumedh, N.; Kailaswar, Vishal; Devarakonda, Lalitha Prasuna; Dr. T. K. Ramesh

Publisher : 2019 4th International Conference on Recent Trends on Electronics, Information, Communication Technology (RTEICT)

Integration of SDN Controller, Time-Sliding Window, and Quantum Key Distribution with Resource Allocation Strategy in Optical Networks for High Security

Authors : Dr. T. K. Ramesh, Shrivastava, Shaambhavi; Dr. T. K. Ramesh

Publisher : 2019 Global Conference for Advancement in Technology (GCAT)

Comparative Performance Analysis of Karatsuba Vedic Multiplier with Butterfly Unit

Authors : Dr. Kamatchi S., Harish, V.; Kamatchi S.

Publisher : 2019 3rd International conference on Electronics, Communication and Aerospace Technology (ICECA)

A Machine Learning based Ensemble Approach for Predictive Analysis of Healthcare Data

Authors : Dr. T. K. Ramesh, K, Daliya.V.; Dr. T. K. Ramesh; A, Shashikanth

Publisher : 2020 2nd PhD Colloquium on Ethically Driven Innovation and Technology for Society (PhD EDITS)

Weather Condition Based Automatic Irrigation System

Authors : Giriraja C. V., Dr. T. K. Ramesh, Giriraja C. V.; Dr. T. K. Ramesh

Publisher : 2020 2nd PhD Colloquium on Ethically Driven Innovation and Technology for Society (PhD EDITS)

Virtual Channel and Switch Traversal in parallel to improve the latency in Network on Chip

Authors : Dr. T. K. Ramesh, Katta, Monika; Dr. T. K. Ramesh

Publisher : 2020 2nd PhD Colloquium on Ethically Driven Innovation and Technology for Society (PhD EDITS)

Vehicle Detection Using Image Processing

Authors : Dr. T. K. Ramesh, Kalyan, Seelam Shanmukha; Pratyusha, Voruganti; Nishitha, Nandikonda; Dr. T. K. Ramesh

Publisher : 2020 IEEE International Conference for Innovation in Technology (INOCON)

An approach for area and power optimization of flipping 3-D discrete wavelet transform architecture

Authors : Dr. Ganapathi Hegde, Dr. T. K. Ramesh, Ganapathi Hegde; Reddy, Kotha Srinivasa; Dr. T. K. Ramesh

Publisher : 2017 7th International Symposium on Embedded Computing and System Design (ISED)

FPGA Implementation of 8-bit SSA Multiplier for designing OFDM Transceiver

Authors : Dr. Ganapathi Hegde, Sagar, Mallapareddi; Ganapathi Hegde

Publisher : 2019 International Conference on Communication and Electronics Systems (ICCES)

Improving the Reliability of Embedded Memories using ECC and Built-In Self-Repair Techniques

Authors : Dr. Ganapathi Hegde, Manasa, R; Ganapathi Hegde; M. Vinodhini

Publisher : 2018 International Conference on Electrical, Electronics, Communication, Computer, and Optimization Techniques (ICEECCOT)

Smart Door Using Biometric NFC Band and OTP Based Methods

Authors : Dr. T. K. Ramesh, Govindraj, Varasiddhi Jayasuryaa; Yashwanth, P. V.; Bhat, Srinidhi V.; Dr. T. K. Ramesh

Publisher : 2020 International Conference for Emerging Technology (INCET)

Irrigation Monitoring and Controlling System

Authors : Dr. T. K. Ramesh, Nishitha, N.; Vasuda, R; Poojith, M.; Dr. T. K. Ramesh

Publisher : 2020 International Conference on Communication and Signal Processing (ICCSP

Smart Traffic Light Signaling Strategy

Authors : Dr. T. K. Ramesh, Manasi, P.Shanmayi; Nishitha, N.; Pratyusha, V.; Dr. T. K. Ramesh

Publisher : 2020 International Conference on Communication and Signal Processing (ICCSP)

Efficient Machine Learning Algorithm for Smart Irrigation

Authors : Dr. T. K. Ramesh, Akshay, S; Dr. T. K. Ramesh

Publisher : 2020 International Conference on Communication and Signal Processing (ICCSP)

High Throughput Pipelined S-Boxes for Encryption and Watermarking Applications

Authors : Dr. Ganapathi Hegde, Jagata, Sridevi; Ganapathi Hegde; Murty, N.S

Publisher : 2020 International Conference on Smart Electronics and Communication (ICOSEC)

An ab initio Method to Predict Phase Transitions in crystalline CO2

Authors : Dr. Abhilash Ravikumar, Ramadas, Dhanya; Chakravarthy, Medha Bhaskar; Rajeev, Neha; Abhilash Ravikumar

Publisher : 2020 IEEE International Conference for Innovation in Technology (INOCON)

Routing games on a circle

Authors : Dr. Manoj Kumar Panda, Eitan Altman; Alejandra Estanislao;

Publisher : NetGCooP 2011

Sensor Data Harvesting Using an Autonomous Drone

Authors : Dr. Manoj Kumar Panda, J. S., Subash; M., Vijay; V., Preveen; V., Mohith;

Publisher : 2020 5th International Conference on Communication and Electronics Systems (ICCES)

FastICA Algorithm Applied to Scattered Electromagnetic Signals

Authors : Dr. Dhanesh G. Kurup, Dr. Sunitha R., Rao, M. Pushyami; Sunitha, R.;

Publisher : International Conference on Communication, Computing and Electronics Systems: Proceedings of ICCCES 2019, Springer Singapore

Design of E-Plane Filter for Ka-band Ground Stations

Authors : Dr. Dhanesh G. Kurup, Kumar, V Senthil;

Publisher : 2018 IEEE Indian Conference on Antennas and Propogation

Sierpinski Based Square Patch Crossover for GPS Applications

Authors : Dr. Dhanesh G. Kurup, Jayakrishnan, V M;

Publisher : 2019 IEEE 9th International Conference on Advanced Computing (IACC), IEEE

Classification of sEMG Signals for Controlling of a Prosthetic foot using SVM and KNN

Authors : Dr. Sreeja Kochuvila, Prasad, Chitra; Balakandan, V Kumaran; Moorthy V, Pranav;

Publisher : 2019 International Conference on Intelligent Computing and Control Systems (ICCS), IEEE,

Control of a biped robot with flexible foot on an uneven terrain

Authors : Dr. Sreeja Kochuvila, Tripathi, Shikha; Sudarshan, T S B

Publisher : 7th International Conference on Information and Automation for Sustainability, IEEE,

Enhancement of Electrical Distribution System-Load levelling

Authors : Dr. Sreeja Kochuvila, Shrinidhi, G; M, Durgesh Subramanian; Rajesh, Gayathri;

Publisher : 2019 International Conference on Intelligent Computing and Control Systems (ICCS), IEEE,

Modelling and Control of Three-link Planar Robot for Stable Walking Gait

Authors : Dr. Sreeja Kochuvila, Nandi Vardhan Harlalli Rajendra

Publisher : 2018 International Conference on Electrical, Electronics, Communication, Computer, and Optimization Techniques

Flexible foot system for a biped robot

Authors : Dr. Sreeja Kochuvila, C. Nimisha, Sudarshan, T. S. B., and Nagaraja S. R.

Publisher : International Conference on Circuits, Communication, Control and Computing

Survey on Range Prediction of Electric Vehicles

Authors : Dr. Sreeja Kochuvila, D. S, Amarnath, A., U, G.

Publisher : i-PACT-2019, Vellore Institute of Technology

Visible light communications in intelligent transportation systems

Authors : Dr. Navin Kumar, Lourenco, Nuno; Terra, Domingos; Alves, Luis N.; Aguiar, Rui L.

Publisher : IEEE

Design, development and performance analysis of DSSS-based transceiver for VLC

Authors : Dr. Navin Kumar, Terra, Domingos; Lourenco, Nuno; Alves, Luis Nero; Aguiar, Rui L.

Publisher : IEEE

Dynamic benefit-weighted scheduling scheme in multi service networks

Authors : Dr. Navin Kumar, Gowda, Namratha B; Sharma, Reema; Srinivas, Talabatulla

Publisher : 2015 IEEE International Advance Computing Conference (IACC), IEEE

Visible light communication for intelligent transportation in road safety applications

Authors : Dr. Navin Kumar, Terra, Domingos; Lourenco, Nuno; Nero Alves, Luis; Aguiar, Rui L.

Publisher : IEEE

Design and analysis of the basic parameters for traffic information transmission using VLC

Authors : Dr. Navin Kumar, Alves, Luis Nero; Aguiar, Rui L.

Publisher : 2009 1st International Conference on Wireless Communication, Vehicular Technology, Information Theory and Aerospace Electronic Systems Technology, IEEE

Visible Light Communication System for outdoor applications

Authors : Dr. Navin Kumar, Lourenco, Nuno; Terra, Domingos; Alves, Luis Nero; Aguiar, Rui L

Publisher : IEEE

Performance of new dynamic benefit-weighted scheduling scheme in DiffServ networks

Authors : Dr. Navin Kumar, Sharma, Reema; Talabattula, Srinivas

Publisher : 2014 International Conference on Advances in Computing, Communications and Informatics (ICACCI), IEEE

Waiting Time Analysis for Delay Sensitive Traffic in Internet of Things

Authors : Dr. Navin Kumar, Sharma, Reema; Gowda, Namratha B.; Srinivas, T.

Publisher : 2015 IEEE Region 10 Symposium, IEEE,

Performance Analysis of Image Segmentation for Oral Tissue

Authors : Dr. Navin Kumar, Nawandhar, Archana A.; Yamujala, Lakshmi;

Publisher : 2017 Ninth International Conference on Advances in Pattern Recognition (ICAPR), IEEE

Design of multiband planar antenna for mobile devices

Authors : Dr. Navin Kumar, Snehalatha, T. K. A. C.;

Publisher : 2017 International conference on Microelectronic Devices, Circuits and Systems (ICMDCS), IEEE

Design of 60GHz broadband LNA for 5G cellular using 65nm CMOS technology

Authors : Dr. Navin Kumar, Pournamy, S;

Publisher : 2017 7th International Conference on Communication Systems and Network Technologies (CSNT), IEEE

Design of multiband planar antenna

Authors : Dr. Navin Kumar, Snehalatha, T. K. A. C.;

Publisher : 2017 IEEE International Conference on Antenna Innovations Modern Technologies for Ground, Aircraft and Satellite Applications (iAIM), IEEE,

Design of Wideband Millimeter Wave Mixer in CMOS 65nm for 5G Wireless

Authors : Dr. Navin Kumar, Singh, Sweta;

Publisher : 2018 International Conference on Advances in Computing, Communications and Informatics

Visible Light Communication and Radio Network for Vehicular Environment

Authors : Dr. Navin Kumar, Arunachalam, Priyanka;

Publisher : 2018 Second International Conference on Advances in Electronics, Computers and Communications

Analysis and Classification of Railway Track Surfaces based on Image Processing

Authors : Dr. Navin Kumar, Kartheek Sunkara, Sai Pavan;

Publisher : 2018 International Conference on Advances in Computing, Communications and Informatics

Simplified Integration of Power Line and Visible Light Communication

Authors : Dr. Navin Kumar, Bhavya, K.; Gangrade, Nidhi;

Publisher : 2018 3rd International Conference on Communication and Electronics Systems

Deterministic Modeling for mmWave Outdoor Street Canyon Channel

Authors : Dr. Navin Kumar, Kumari, M.; Rao, Sudarshan;

Publisher : 2019 PhD Colloquium on Ethically Driven Innovation and Technology for Society (PhD EDITS)

Receive Diversity in Analog Feedback Communication

Authors : Dr. Navin Kumar, Tengshe, Richa;

Publisher : 2019 PhD Colloquium on Ethically Driven Innovation and Technology for Society (PhD EDITS), IEEE,

Design of mmWave Dual Band Antenna for 5G Wireless

Authors : Dr. Navin Kumar, Apoorva, Tirumalasetty Sri Sai;

Publisher : 2019 IEEE International Conference on Advanced Networks and Telecommunications Systems (ANTS), IEEE

Flip-OFDM Based Generalized Spatial MIMO for VLC

Authors : Dr. Navin Kumar, Jha, Mahesh Kumar; Lakshmi, Y. V. S.

Publisher : 2019 PhD Colloquium on Ethically Driven Innovation and Technology for Society (PhD EDITS), IEEE,

Performance Analysis of Neighborhood Component Feature Selection for Oral Histopathology Images

Authors : Dr. Navin Kumar, Nawandhar, Archana; Yamujala, Lakshmi

Publisher : 2019 PhD Colloquium on Ethically Driven Innovation and Technology for Society (PhD EDITS), IEEE

RF Budgeting and Planning for WiGig and its Co-existence with quasi mmWave Radio

Authors : Dr. Navin Kumar, Pournamy, S.;

Publisher : 2019 PhD Colloquium on Ethically Driven Innovation and Technology for Society (PhD EDITS), IEEE,

Modeling and Link Budget Estimation of Directional mmWave Outdoor Environment for 5G

Authors : Dr. Navin Kumar, M., Sheeba Kumari; Rao, Sudarshan A.;

Publisher : 2019 European Conference on Networks and Communications (EuCNC), IEEE

EVM measurements for a 28GHz 5G Receiver link with the designed LNA and Down converter

Authors : Dr. Navin Kumar, P. S

Publisher : 4th International Conference on Microelectronics, Computing and Communication Systems (MCCS)

Simple Additive Weighted Algorithm for Vertical Handover in Heterogeneous Network

Authors : Dr. Navin Kumar, Aritri Debnath;

Publisher : 2020 2nd PhD Colloquium on Ethically Driven Innovation and Technology for Society (PhD EDITS)

Performance of Downlink SISO NR System using MMSE-IRC Receiver

Authors : Dr. Navin Kumar, Makkar, Rahul; Kotha, Venugopalachary; Sheeba Kumari, M; Rawal, Divyang; Chakka, Vijay Kumar;

Publisher : 2020 IEEE 3rd 5G World Forum (5GWF), IEEE

Performance of Zero-Biased NOMA VLC System

Authors : Dr. Navin Kumar, Jha, Mahesh Kumar; Lakshmi, Y. V. S.

Publisher : 2020 IEEE 3rd 5G World Forum (5GWF), IEEE

NOMA MIMO Visible Light Communication with ZF-SIC and MMSE-SIC

Authors : Dr. Navin Kumar, PDF Mahesh Kumar Jha; Y. V. S. Lakshmi

Publisher : 2020 2nd PhD Colloquium on Ethically Driven Innovation and Technology for Society (PhD EDITS), IEEE

Blind Frequency Synchronization for WLAN MIMO OFDM Systems

Authors : Dr. Navin Kumar, Lalitha H;

Publisher : 2020 2nd PhD Colloquium on Ethically Driven Innovation and Technology for Society (PhD EDITS)

Preliminary investigation on Analog Joint Source Channel Coding and Feedback based Communication System

Authors : Dr. Navin Kumar, Richa Tengshe;

Publisher : 2020 2nd PhD Colloquium on Ethically Driven Innovation and Technology for Society (PhD EDITS), IEEE

Latency Analysis for IMT-2020 Radio Interface Technology Evaluation

Authors : Dr. Navin Kumar, Reddy, A. Phani Kumar; Tirumalasetty, Sri Sai Apoorva; Srinivasan, S; Vinosh Babu James, J

Publisher : 2020 IEEE 3rd 5G World Forum (5GWF), IEEE,

Performance of mmWave Ray Tracing Outdoor Channel Model Exploiting Antenna Directionality

Authors : Dr. Navin Kumar, Sheeba Kumari, M; Prasad, Ramjee

Publisher : 2020 IEEE 3rd 5G World Forum (5GWF), IEEE

Random Subspace Combined LDA Based Machine Learning Model for OSCC Classifier

Authors : Dr. Navin Kumar, Nawandhar, Archana; Yamujala, Lakshmi

Publisher : Modeling, Machine Learning and Astronomy, Springer Singapore

Assessment of Candidate Technology ETSI: DECT-2020 New Radio

Authors : Dr. Navin Kumar, Dhanwani, Vishakha; Bachkaniwala, Akhil Kalpesh; Rawal, Divyang; Kumar, Sendil

Publisher : 2020 IEEE 3rd 5G World Forum (5GWF), IEEE

Reliability Enhanced Overlay Structure for Peer-to-Peer Video Streaming

Authors : Dr. B. Uma Maheswari, Dr. T. K. Ramesh, Dr. T. K. Ramesh

Publisher : International Conference on Intelligent Data Communication Technologies and Internet of Things (ICICI)2018, Springer International Publishing

An Enhanced Two-Speed, Radix-4 Multiplier using Spurious Power Suppression Technique

Authors : Dr. Ramesh Chinthala, N. Soni

Publisher : 2020 International Conference on Smart Electronics and Communication (ICOSEC)

Handwritten Digit Recognition Using Ensemble Learning

Authors : Dr. S. Veni, Dr. Manoj Kumar Panda, Nandan, Kuppa Venkata Padmanabha;

Publisher : ICCES

Detection and prediction of osteoporosis using impulse response technique and artificial neural network

Authors : Dr. Sunitha R., Tejaswini, E; Vaishnavi, P;

Publisher : 2016 International Conference on Advances in Computing, Communications and Informatics (ICACCI)

Fusion of MRI and PET images using DWT and adaptive histogram equalization

Authors : Bhavana V., Krishnappa, H. K

Publisher : 2016 International Conference on Communication and Signal Processing (ICCSP)

Design and characterization of analog multiplexer for data acquisition system in satellites

Authors : Kavitha N. Pillai, Vallury, Sai Krishna; Saikiran, K S; Nagaraja, G, Padmapriya, K

Publisher : International Conference on Advances in Computing, Communications and Informatics (ICACCI)

Security situational aware intelligent road traffic monitoring using UAVs

Authors : Dr. Tirumale K. Ramesh, P. Satish Kumar, P. Sathish Kumar, Reshma, R

Publisher : International Conference on VLSI Systems, Architectures, Technology and Applications (VLSI-SATA)

Design and Implementation of FRESNEL Reflector and Salt Storage for Power Generation using Solar Energy

Authors : Dr. Vidya H. A., Anusha M. E, Chandan S, Chandan S, Narendra Kumar , Madhukar M

Publisher : International Conference & Exhibition on “Smart Lighting – The Future

Design of Low complexity &High performance LUT based Feed Forward FFT Architecture

Authors : Dr. Paramasivam C., Y.Harshitha

Publisher : Nagarjuna College of Engineering and Technology, Bangalore

Nibble Based even Invert Code for Serial NoC Links

Authors : Dr. M. Vinodhini, Sruthi.S.Varma; N. Sai Vineela; G. Navya Sree

Publisher : RVS Technical Campus at Hotel Arcadia, Coimbatore

Performance Analysis of Different Reduced Precision Redundancy based Full Adders

Authors : Dr. M. Vinodhini, Sai Krishna

Publisher : Nagarjuna College of Engineering and Technology, Bangalore

Modelling of an interactive network of Hodgkin -Huxley neurons

Authors : Dr. Sunitha R., Bipasha Dutta;; Sreedevi. A

Publisher : International Conference on Communication and signal Processing

Design and Implementation of Power Efficient and fast Full Adders Using Hybrid Logics

Authors : Sonali Agrawal, Sai Vamsi Ch; Sanagaram Aravind Kasyap; Saiprateeka S

Publisher : Nitte Meenakshi Institute of Technology, Bangalore

Diagonal Hamming Based Multi-Bit Error Detection and Correction Technique for Memories

Authors : Dr. M. Vinodhini, G. Manoj Sai; K. Mohan Avinash; L. Sri Ganesh Naidu; M. Shiva Rohith;

Publisher : Adhiparasakthi Engineering College

Smart Irrigation Alert System Using Multihop Wireless Local Area Networks

Authors : Dr. Manoj Kumar Panda, Lalitha, C. V. N. S.; Aditya, M.;

Publisher : Inventive Computation Technologies, Springer International Publishing,

AIR TOUCH: Human Machine Interface Using Electromyography Signals

Authors : Dr. Sreeja Kochuvila, Sreyas S.; S. Vignesh; Pranav R.

Publisher : Easton 100 – 5th International Conference on Computing Sciences, organized by Advanced Computing Research Society

Detection of Leukemia using K-means Clustering and Machine Learning

Authors : Dr. Sreeja Kochuvila, Lakshmi Thanmayi A. V.; Sunku Dharahas Reddy;

Publisher : 4th EAI International Conference on Ubiquitous Communications and Network Computing (UBICNET),

Smart and Autonomous Door Unlocking System Using Face Detection and Recognition

Authors : Dr. N. Neelima, Amrutha Kalturi;Anjali Agarwal

Publisher : Lecture Notes on Data Engineering and Communications Technologies book series (LNDECT)

Transition Based Odd/Full Invert Coding Scheme for Crosstalk Avoidance and Low Power Consumption in NoC Links

Authors : Dr. M. Vinodhini, Murty, N. S.

Publisher : Advances in Signal and Data Processing, Springer Singapore, Singapore (2021)

A Hybrid Approach to Review Mining–-Restaurant Data in Depth Analysis

Authors : Dr. N. Neelima, Raghavendra Babu, P.; Sreenivas, S;VinayVarma, U. S.

Publisher : Innovative Data Communication Technologies and Application

Design and implementation of two stage 5-bit pipelined SAR ADC

Authors : Dr. P. Maran, Dr. Maran Ponnambalam, Ananda Padmanaban M.; Premanand Venkatesh Chandramani

Publisher : 2014 International Conference on Communication and Signal Processing

Automated system for hotel room service

Authors : Dr. Jalpa Shah, D. Patel; A. Khirsariya;

Publisher : 2014 2nd International Conference on Emerging Technology Trends in Electronics, Communication and Networking

SNR Based Master-Slave Dynamic Device to Device communication in underlay cellular networks

Authors : Dr. T. K. Ramesh, Giriraja C. V., Giriraja C. V.; Dr. T. K. Ramesh

Publisher : 2015 International Conference on Advances in Computing, Communications and Informatics, ICACCI 2015

Gigabit Ethernet Based Image Acquisition System for IR Camera

Authors : Dr. Jalpa Shah, H. Mandliya; A. Tandon; R. Rajpal

Publisher : 2014 International Conference on Computational Intelligence and Communication Networks

Fuzzy Based Flyback Converter

Authors : Dr. K. Deepa, Jeyanthi R., S. Mohan; M. Vijaya Kumar

Publisher : ICAEE

Highly efficient PVDF film energy harvester for self charging vehicle system

Authors : Kaustubh, P.; Vaish, N.

Publisher : ICUE 2012

Control of a Compass Gait Biped Robot Based on Partial Feedback Linearization

Authors : Dr. Sreeja Kochuvila, Dr. Shikha Tripathi, and T.S.B, S.

Publisher : Springer

An efficient distributive arithmetic based 3-dimensional discrete wavelet transform for video processing

Authors : Dr. Ganapathi Hegde, Vaya, P.

Publisher : Proceedings of 2011 International Conference on Process Automation, Control and Computing, PACC 2011

Intelligent Multiple Watermarking Technique to Prevent Copy Attack

Authors : Dr. Shikha Tripathi, Sai Krishnan R; Vijay M

Publisher : National Conference on Virtual Intelligent Instrumentation (NCVII09)

Up-gradation of Wired to Wireless Projector using WLAN

Authors : Priya B. K., Praneeth MSSR, Nikhilesh M, Tharun Reddy P, and Ms. Priya B. K.

Publisher : II International Conference on Wireless Mobile Communication Systems (WMCS-14)

SSM wavelets for analysis of music signals using Particle Swarm Optimization

Authors : Dr. Shikha Tripathi

Publisher : Signal Processing and Communication (ICSC), 2013 International Conference on

Equivalent network models for active antenna design

Authors : Dr. Dhanesh G. Kurup, A. Rydberg

Publisher : Conference proceedings EMB-98, Electromagnetic computations for analysis and design of complex systems, Linköping, Sweden,

A Nonradiative dielectric interconnect for compact radio front-ends

Authors : Dr. Dhanesh G. Kurup, A. Rydberg, T. Johansson

Publisher : Proceedings, RVK-99, Radio Vetenskap och Kommunications,

Slots as impedance transformers in microwave circuit designs

Authors : Dr. Dhanesh G. Kurup, A Rydberg

Publisher : symposium proceedings GHz-2000, Göteborg

Wireless smart power saving system for home automation

Authors : Dr. Jalpa Shah, L. Pathrabe; B. Patel

Publisher : 2012 1st International Conference on Emerging Technology Trends in Electronics, Communication Networking

Programmable high data rate QPSK modulator for space applications

Authors : Dr. Dhanesh G. Kurup, G. Yeswanthi, M. Kavitha, P.S. Priyanka

Publisher : Fifth International Symposium on Intelligent Informatics.

Priority Based Traffic Balancing Routing Protocol for WDM Optical Networks

Authors : Dr. T. K. Ramesh, P L Sindhuja Rao; K. V. V. N. D. Santosh

Publisher : International conference on Microelectronic Devices, Circuits and Systems,

Priority Based Efficient and Reliable Centralized Connection Management Scheme for Computer Networks

Authors : Dr. T. K. Ramesh, Kashyap, S.; Suman, I.V.S.A.; Vaya, P.R.

Publisher : TISC 2011 – Proceedings of the 3rd International Conference on Trendz in Information Sciences and Computing

Design of data adaptive IFFT/FFT block for OFDM system

Authors : Rajeswari, L.M.; Manocha, S.K.

Publisher : Proceedings – 2011 Annual IEEE India Conference: Engineering Sustainable Solutions, INDICON-2011

Optimal Key Frame Identification Using Visual Attention Model

Authors : Dr. Amudha J., Dr. Parul Mathur, Parul Mathur,J. Amudha

Publisher : Apollo Engineering College at Chennai

Polymer Optical Waveguide for Optical-Electrical Printed Circuit Board

Authors : Dr. T. K. Ramesh, N Vajresh Kumar

Publisher : Circuits and Systems,

Advanced Centralized Priority Based RWA Protocol for WDM Networks

Authors : Dr. T. K. Ramesh, Reddy, A.N.; Praveen Kumar, G.V.L.; Biju, K.; Vaya, P.R.

Publisher : TISC 2011 – Proceedings of the 3rd International Conference on Trendz in Information Sciences and Computing

Automated Distributed Dynamic Survivable RWA Protocol for WDM Networks

Authors : Dr. T. K. Ramesh, Janani, R.; Kiran, S.P.; Swapna, T.; Vaya, P.R.

Publisher : TISC

PET and MRI medical image fusion using STDCT and STSVD

Authors : Bhavana V., Chaitanya, C.K.; Reddy, G.S.; Bhavana V.; Varma, G.S.C.

Publisher : 2017 International Conference on Computer Communication and Informatics,

Image super resolution using sparse image and singular values as priors

Authors : Dr. S. Ravishankar, Dr. Shikha Tripathi, Reddy, Challapalle Nagadastagiri; Murthy, KVV

Publisher : Springer

A proactive and self-regulated ant-based RWA protocol for all-optical WDM networks

Authors : Dr. T. K. Ramesh, Amrutha, L.N.; Madhu, A.; Reddy, K.S.; Vaya, P.R.

Publisher : PACC

Power management in SoC using CPF

Authors : Dr. Pukhraj Vaya, Lakshmi, M.S.a; Venkataramanan, S.b

Publisher : ICECT 2011 – 2011 3rd International Conference on Electronics Computer Technology

Behavioral modeling of switched capacitive interfacing circuitry using MATLAB/SIMULINK

Authors : Dr. Pukhraj Vaya, Priya, A.S

Publisher : ICECT 2011 – 2011 3rd International Conference on Electronics Computer Technology

Merged arbitration and switching techniques for network on chip router

Authors : Dr. M. Vinodhini, Dr. N. S. Murty, M. Vinodhini;

Publisher : 2017 International conference on Microelectronic Devices, Circuits and Systems

Low power VLSI architecture for combined FMO/Manchester encoder for reusability and FMO/Manchester codecs

Authors : Sonali Agrawal, Sowjith, N.; Sai Sandeep, K.; Sumanth, M.;

Publisher : 2016 IEEE International Conference on Computational Intelligence and Computing Research,

Leader-follower co-ordination of multiple robots with obstacle avoidance

Authors : Rajesh M., M. Nithya, B. T, Rajkumar P. Sreedharan

Publisher : International Conference On Smart Technologies For Smart Nation

Enhanced Channel Estimation and Tracking for Single Carrier Uplink Transmission Scheme

Authors : Dr. Arpita Thakre, Giridhar., K.

Publisher : National Conference on Communications (NCC)

Efficient alternate RWA protocol for WDM networks

Authors : Dr. T. K. Ramesh, Janani, R.; Kiran, S.P.; Swapna, T.; Vaya, P.R.

Publisher : 2012 International Conference on Optical Engineering, ICOE 2012

Horizontal-vertical parity and diagonal hamming based soft error detection and correction for memories

Authors : Dr. N. S. Murty, Dr. M. Vinodhini, P. Raha; M. Vinodhini; Dr. N.S. Murty

Publisher : 2017 International Conference on Computer Communication and Informatics

Error detection and correction in semiconductor memories using 3D parity check code with hamming code

Authors : Dr. N. S. Murty, Dr. M. Vinodhini, S. Tambatkar; S. N. Menon; V. Sudarshan; M. Vinodhini;

Publisher : 2017 International Conference on Communication and Signal Processing

Efficient Networking System for Rural Human Health Care

Authors : Giriraja C. V., Dr. T. K. Ramesh, C V Giriraja

Publisher : International Conference on Electrical Computer and Communication Technologies,

Efficient SCT Protocol for Post Disaster Communication

Authors : Giriraja C. V., Dr. T. K. Ramesh, Dr. T. K. Ramesh; Giriraja C. V.; Noor M.M.,; Ganesh M.S.,; Idris M.H.

Publisher : IOP Conference Series: Materials Science and Engineering, Institute of Physics Publishing,

Time-frequency and phase derived features for emotion classification

Authors : Dr. S. Lalitha, S. Lalitha; Chaitanya, K.K.; Teja, G.V.N.; Varma, K.V.; Dr. Shikha Tripathi

Publisher : 12th IEEE International Conference Electronics, Energy, Environment, Communication, Computer, Control: (E3-C3), INDICON 2015

Single Event Upset In a 3-Bit SAR ADC

Authors : Dr. P. Maran, Dr. Maran Ponnambalam, A. J Twinkle, and Premanand Venkatesh Chandramani

Publisher : National Conference on Research Challenges in VLSI Design and embedded Systems for Wireless Communication

SET induced Soft-error study of two stage ADC with VCO based ADC on the second stage

Authors : Dr. Maran Ponnambalam, Premanand Venkatesh Chandramani

Publisher : National Conference on Reliability and Safety Engineering, NCRS 2016.

Direct demodulator for amplitude modulated signals using artificial neural network

Authors : Dr. Dhanesh G. Kurup, Vineetha Jain K. V.

Publisher : 3rd International Symposium on Intelligent Systems Technologies and Applications

Implementation aspects of a new RFID anti-collision algorithm

Authors : Dr. Dhanesh G. Kurup, Reshmi K.

Publisher : IEEE Region 10 Conference TENCON, Singapore.

Detection of Closely Spaced Sinusoids in Noise using FastICA algorithm

Authors : Dr. Dhanesh G. Kurup, N. Saideep, Shikha Tripathi

Publisher : Symposium on Recent Advances in Communication Theory, Information Theory, Antennas and Propagation.

Priority based TDMA Algorithm for Medium Range Data Communication

Authors : Giriraja C. V., Chirag V., Sudheendra C., and Samarth S

Publisher : International Conference on Intelligent Computing (ICIC) 2018

An Encapsulation of Vital Non-Linear Frequency Features for Speech Applications

Authors : Dr. Deepa Gupta, Dr. S. Lalitha

Publisher : International Conference on Intelligent Computing (ICIC) 2018

Voice Conversion Using DNN Techniques

Authors : K. Jeeva Priya, Sushmitha Vekkot, Naveena V.

Publisher : International Conference on Intelligent Computing (ICIC) 2018

An Efficient FIR Filter Architecture using 4:2 Compressor

Authors : Swaminadhan R., S. Bodapati

Publisher : International Conference on Intelligent Computing (ICIC) 2018

Design of Dielectric Rod Antenna for Ground Station Applications

Authors : Dr. Dhanesh G. Kurup, V. S. Kumar

Publisher : International IEEE conference on Innovations in Antenna iAIM

Multimodel Medical Image Fusion using Wavelet and Contourlet Transforms

Authors : Bhavana V., J. Navin Chandra

Publisher : International Conference on Intelligent Computing (ICIC) 2018

Design and simulation of an RF power amplifier integrated harmonic suppression antenna for WiMAX applications

Authors : Dr. R. V. Sanjika Devi, Varma, V.R.; Rakesh, R.; Girish, U.S.; Sanjika Devi R V

Publisher : 2017 International Conference on Computer Communication and Informatics,

Multi-Bit Low Redundancy Error control with Parity Sharing for NoC Interconnects

Authors : Dr. N. S. Murty, Dr. M. Vinodhini, U. Sai Himaja, M. Vinodhini

Publisher : International Conference on Communication and Electronics Systems (ICCES) 2018

Low Power Implementation of Advanced Encryption Standard using Efficient Shift Registers in 45 nm Technology

Authors : Dr. N. S. Murty, Yogendra Singh Sikarwar

Publisher : 3rd International Conference on Communication and Electronics Systems (ICCES 2018)

4-bit Counter using High-Speed Low-Voltage CML D-Flipflops

Authors : Kirti S. Pande, Resham Singh

Publisher : International Conference on Communication and Electronics Systems (ICCES) 2018

Power Efficient Multicast Routing Protocol for Dynamic Intra Cluster Device to Device Communication

Authors : Dr. T. K. Ramesh, Giriraja C. V., Pushpalatha M; C V Giriraja; S K Konda

Publisher : International Conference on Electrical, Electronics, and Optimization Techniques, ICEEOT 2016

Performance of iterative turbo coding with nonlinearly distorted OFDM signal

Authors : Dr. Navin Kumar, Vaniya, S.N.; Sacchi, C.

Publisher : 2016 IEEE Annual India Conference, INDICON 2016, Institute of Electrical and Electronics Engineers Inc.

Energy – Efficient Resource Allocation in WDM Networks

Authors : Dr. T. K. Ramesh, S K Konda

Publisher : International Conference on Communication and Signal Processing, ICCSP 2016,

Emotion detection using perceptual based speech features

Authors : Dr. S. Lalitha, Dr. Shikha Tripathi

Publisher : India Conference (INDICON), 2016 IEEE Annual, IEEE.

Dual-threshold single-ended Schmitt-Trigger based SRAM cell

Authors : Kirti S. Pande, D. Sreenivasan; D. Purushothaman; K. S. Pande; Dr. N.S. Murty

Publisher : 2016 IEEE International Conference on Computational Intelligence and Computing Research (ICCIC)

Macromodeling of a dual polarized X band Microstrip-T Coupled Patch antenna

Authors : Dr. Dhanesh G. Kurup, Dr. R. V. Sanjika Devi, V. Sruthi; S. Krishnaveni; Vrinda K; V. Senthil Kumar.

Publisher : IEEE INDICON, IISc Bangalore

Time Series Analysis of Solar and Stellar Data using the S-Transform

Authors : Dr. Dhanesh G. Kurup, Navya K., N.U. Amrutha, Dr. Shikha Tripathi

Publisher : ELSEVIER Proceedings of International Conferences on Advances in Signal Processing and Communication (SPC-2013)

Customized IoT enabled Wireless Sensing and Monitoring Platform for preservation of artwork in heritage buildings

Authors : Dr. Jalpa Shah, B. Mishra

Publisher : 2016 International Conference on Wireless Communications, Signal Processing and Networking (WiSPNET)

Study of Control Strategies for a Non-Linear Benchmark Boiler

Authors : Jeyanthi R., Shekhar, S.A.; Balaji, R.; Jeyanthi R.

Publisher : International CET Conference on Control, Communication, and Computing

Stress Recognition Using Auditory Features for Psychotherapy in Indian Context

Authors : Dr. S. Lalitha, Narayanan, V.; S. Lalitha; Gupta, D.

Publisher : Proceedings of the 2018 IEEE International Conference on Communication and Signal Processing, ICCSP 2018

Filtered OFDM with Index Modulation

Authors : Dr. Arpita Thakre, L. Girish

Publisher : IEEE ICACCI Sept.

Real Time Traffic Control for Emergency Service Vehicles

Authors : Dr. T. K. Ramesh, Vishal, D.; Reddy, R.J.; Bala Abhirami, M.; Dr. T. K. Ramesh

Publisher : 2017 IEEE International Conference on Computational Intelligence and Computing Research, ICCIC 2017

Fall detection system using Artificial Neural Network

Authors : Vineetha Jain K. V., Dr. Dhanesh G. Kurup, A. Purushothaman

Publisher : 2nd International Conference on Inventive Communication and Computational Technologies(ICICCT 2018)

Brain Tumor Detection Using Image Segmentation Techniques

Authors : Bhavana V., Reddy, D.; Dheeraj; Kiran; Bhavana V.; Krishnappa, H.K.

Publisher : Proceedings of the 2018 IEEE International Conference on Communication and Signal Processing, ICCSP 2018

Brain Tumor Detection Using Threshold and Watershed Segmentation Techniques with Isotropic and Anisotropic Filters

Authors : Bhavana V., Chandra, J.N.; Bhavana V.; Krishnappa, H.K.

Publisher : Proceedings of the 2018 IEEE International Conference on Communication and Signal Processing, ICCSP 2018

Broadband RF Power Amplifier Modeling using an Enhanced Wiener Model

Authors : Dr. Dhanesh G. Kurup, Dr. R. V. Sanjika Devi, R. V. S. Devi, B. M. Nandini, M. Niharika, P. Anush

Publisher : International Conference on Computer, Communication and Informatics

Rational Approximation of RF Passive Devices for Noisy Data

Authors : Dr. Dhanesh G. Kurup, Dr. N. S. Murty, K. Vrinda; Dr. N.S. Murty;

Publisher : 2018 IEEE International Conference on Communication and Signal Processing, ICCSP 2018

Characterization of Submicron Ring Oscillator Using the First Order Design Equations

Authors : Dr. T. K. Ramesh, Koithyar A

Publisher : International Conference on Communication and Signal Processing, ICCSP 2016.

Modeling Broadband RF Power Amplifiers Using A Modified Hammerstein Model

Authors : Dr. R. V. Sanjika Devi, Sanjika Devi R V; Kumar, C.S.P.; Chaitanya, M.K.; Deepak, M.V.; Kurup, D.G.

Publisher : Proceedings of the 2018 IEEE International Conference on Communication and Signal Processing, ICCSP 2018

Personality Traits from Speech Signal Using Cross-Corpus Technique

Authors : Dr. S. Lalitha, Vijay, N.; Tripathi, S.; S. Lalitha

Publisher : 2017 IEEE International Conference on Computational Intelligence and Computing Research, ICCIC 2017

Behavioral modeling of RF power amplifiers for designing energy efficient wireless systems

Authors : Dr. Dhanesh G. Kurup, Dr. R. V. Sanjika Devi, Sanjika Devi R V;

Publisher : IEEE International conference on Wireless Communication and Networking

Area and time efficient hardwired pre -shifted bi-rotation CORDIC design

Authors : Dr. Paramasivam C., Manikandan M;

Publisher : International Conference on Communication and Signal Processing, ICCSP 2014 – Proceedings

Automated Irrigation System using Weather Prediction for Efficient Usage of Water Resources

Authors : Dr. T. K. Ramesh, Susmitha, A.; Alakananda, T.; Apoorva, M.L.; Noor M.M.,; Ganesh M.S.,; Idris M.H.

Publisher : IOP Conference Series: Materials Science and Engineering, Institute of Physics Publishing,

Design and Experimental Characterization of a Bandpass Sampling Receiver

Authors : Dr. Dhanesh G. Kurup, S. Avantika, S. K. Devika, V. Gomathy, S. Manjukrishna, Singh A. K

Publisher : International Conference on Communication Systems, ICCS-2015, American Institute of Physics (AIP), Pilani, India.

Low power and memory efficient FFT architecture using modified CORDIC algorithm

Authors : Dr. Paramasivam C., A. Malashri

Publisher : 2013 International Conference on Information Communication and Embedded Systems (ICICES)

An ANN based SpO2 Measurement for Clinical Management Systems

Authors : Jeyanthi R., Gupta, G.P.; Nair, R.R.; Jeyanthi R.

Publisher : Energy Procedia

Identification of correlation between blood relations using speech signal

Authors : Dr. Kaustav Bhowmick, P. Padmini; S. Tripathi;

Publisher : 2017 IEEE International Conference on Signal Processing, Informatics, Communication and Energy Systems (SPICES)

Sensor based speech production system without use of glottis

Authors : Dr. Kaustav Bhowmick, P. Padmini; S. Tripathi;

Publisher : 2017 International Conference on Advances in Computing, Communications and Informatics (ICACCI)

An efficient hardware model for RSA encryption system using Vedic mathematics

Authors : Dr. Ganapathi Hegde, Bhaskar, R.; Vaya, P.R.

Publisher : Procedia Engineering

Motion Compensation on Range Doppler Algorithm for Airborne SAR

Authors : Giriraja C. V., A. Moudgalya, Morris, P. Joseph

Publisher : 7th IEEE International conference on Advances in Computing, Communications and Informatics (ICACCI)

Macromodeling of High Frequency Interconnects based on Accurate Delay Identification

Authors : Dr. Dhanesh G. Kurup, Dr. R. V. Sanjika Devi, Aswini P Reghu, Sanjika Devi R V, Vrinda K

Publisher : IEEE International conference on Computing, Communications and Informatics (ICACCI),

Low power and area efficient error tolerant design for parallel filters

Authors : P. Satish Kumar, Sandeep S.

Publisher : ymposium on VLSI Design and Embedded Computing (VDEC’18), co-affiliated with Seventh International Conference on Advances in Computing, Communications and Informatics (ICACCI-2018

NEDA based hybrid architecture for DCT-HWT

Authors : Dr. Vidhya Vinayachandran, Mamatha I; Dr. Shikha Tripathi

Publisher : 2nd International Conference on VLSI System Architecture Technology (VLSI-SATA 2016), Jan 10-12, 2016, ASE, Bangalore

Real-time emotion recognition from facial images using Raspberry Pi II

Authors : Dr. Suja P., Dr. Shikha Tripathi, Suchitra; Suja P.; Dr. Shikha Tripathi

Publisher : 2016 3rd International Conference on Signal Processing and Integrated Networks (SPIN).

Joint Crosstalk Avoidance with Multiple Bit Error Correction Coding Technique for NoC Interconnect

Authors : Dr. N. S. Murty, Dr. M. Vinodhini, T. Siva Teja, T. V. V. Satya Narayana, M. Vinodhini

Publisher : 7th IEEE International conference on Advances in Computing, Communications and Informatics (ICACCI)

Wideband Low Noise Amplifier Design for Microwave Frequency using CMOS 65nm technology

Authors : Vignesh V., Dr. Navin Kumar, R. Kumari

Publisher : 7th IEEE International conference on Advances in Computing, Communications and Informatics (ICACCI

Efficient and compact power supply for robotic application

Authors : Dr. K. Deepa, Jeyanthi R., Vijaya Kumar, M

Publisher : IET Conference Publications

Test Power and Transition Fault Coverage Comparison between LOC and LOS Test Scheme for Multiple Clock Domain Circuits

Authors : Dr. N. S. Murty, Sakshcc Pandey; Ravi Ranjan

Publisher : 2017 IEEE International Conference on Computational Intelligence and Computing Research, ICCIC 2017

High Performance and Power-Aware Scan Flip-Flop Design

Authors : Dr. N. S. Murty, Kalyan Eedupuganti;

Publisher : 2017 IEEE International Conference onComputational Intelligence and Computing Research, ICCIC 2017

A Unique Low Power Network-an-Chip Virtual Channel Router

Authors : Dr. N. S. Murty, Dr. M. Vinodhini, OLM. Srrayvinya; M. Vinodhini;

Publisher : 2017 IEEE International Conference on Computational Intelligence and Computing Research, ICCIC 2017

Data Flipping Coding Technique to Reduce NOC Link Power

Authors : Dr. N. S. Murty, Dr. M. Vinodhini, M. Moulika, M. Vinodhini

Publisher : 2017 IEEE International Conference on Computational Intelligence and Computing Research, ICCIC 2017

Functional Coverage – Driven UVM Based JTAG Verification

Authors : Dr. N. S. Murty, C Elakkiya; Dr. N.S. Murty; C Babu; Gaurav Jalan

Publisher : 2017 IEEE International Conference on Computational Intelligence and Computing Research, ICCIC 2017

Implementation of Multipliers using Stacker Based Binary Compressors

Authors : Dr. N. S. Murty, Sruthi P.K.;

Publisher : 2018 Third International Conference on Electrical, Electronics, Communication, Computer Technologies and Optimization Techniques (ICEECCOT)

Feedback Oriented XORed Flip-Flop Based Arbiter PUF

Authors : Dr. N. S. Murty, Sushma R.

Publisher : 2018 Third International Conference on Electrical, Electronics, Communication, Computer Technologies and Optimization Techniques (ICEECCOT)

Simulation and design of a chipless passive RFID Tag

Authors : Srihari S., Dr. Dhanesh G. Kurup, Sabarish, M.S.; Swathi Arunaa, T.S.;

Publisher : International Conference on Advances in Electronics, Computers and Communications (ICAECC), 2014 , IEEE,

Electronic Band Structure and Photoemission Spectra of Graphene on Silicon Substrate

Authors : Dr. Abhilash Ravikumar, Brahmanandam Javvaji; BM Shenoy; D Roy Mahapatra; MR Rahman; GM Hegde

Publisher : Physics and Simulation of Optoelectronic Devices XXII

Electron Transfer with Core-Level Excitations at Hybrid Interfaces

Authors : Dr. Abhilash Ravikumar, G. Fratesi, Baby, A., Lin, H., Muller, M., Sànchez-Portal, D., Selloni, A., and Brivio, G.

Publisher : Workshop on Surfaces, Interfaces and Functionalization Processes in Organic Compounds and Applications (SINFO)

Transient Magnetization of Core Excited Organic Molecules Adsorbed on Graphene

Authors : Dr. Abhilash Ravikumar, Anu Baby; He Lin; Gian Paolo Brivio; Guido Fratesi

Publisher : APS Meeting Abstracts

Transient Magnetism in Graphene Induced by Core Level Excitation of Organic Adsorbates

Authors : Dr. Abhilash Ravikumar, A Baby; H Lin; G Brivio; G Fratesi

Publisher : APS March Meeting, American Physical Society .

Lifetimes for Fast Charge Transfer of Core Excited Molecules on Gold and Graphene

Authors : Dr. Abhilash Ravikumar, Gian Paolo Brivio; Guido Fratesi; He Lin; Olgun Adak; Latha Venkataraman; Gregor Kladnik; Dean Cvetko; Alberto Morgante

Publisher : APS Meeting Abstracts

Adsorption of Organic Molecules on Graphene

Authors : Dr. Abhilash Ravikumar, Lin, H., Baby, A., Fratesi, G., and Brivio, G

Publisher : School on Organic Electronics

Adsorption of Pyridine on Graphene

Authors : Dr. Abhilash Ravikumar, Lin, H., Fratesi, G., and Brivio, G.

Publisher : XIX ETSF Workshop on Electronic Excitations

Study of reassignment strategy in Dynamic Channel Allocation scheme

Authors : Dr. T. K. Ramesh, Giriraja C. V., Dr. T. K. Ramesh; Giriraja C. V.

Publisher : 3rd International Conference on Signal Processing and Integrated Networks.

A survey on multi – Modality medical image fusion

Authors : Bhavana V., Krishnappa, H.K.b

Publisher : Proceedings of the 2016 IEEE International Conference on Wireless Communications, Signal Processing and Networking, WiSPNET 2016, Presses Polytechniques Et Universitaires Romandes.

Physical Unclonable Functions Implementation for Hardware Security and Trust

Authors : Dr. N. S. Murty, Kolasani Sahithi

Publisher : Symposium on VLSI Design and Embedded Computing (VDEC’18), co-affiliated with Seventh International Conference on Advances in Computing, Communications and Informatics (ICACCI-2018)

Performance of Ultra Wideband (UWB) pulsed Doppler Radar for heart rate and respiration rate monitoring in Noise

Authors : Dr. Dhanesh G. Kurup, hruthi N., Parul Mathur

Publisher : International Conference on Advances in Computing, Communications and Informatics (ICACCI)

Performance Improvement in Rayleigh Faded Channel using Deep Learning

Authors : Dr. Arpita Thakre, S. Ganesh, Sundar, S.

Publisher : IEEE ICACCI Sept.

High performance VLSI architecture for 2-D DWT using lifting scheme

Authors : Dr. Ganapathi Hegde, Mithun, R.;

Publisher : 2015 International Conference on VLSI Systems, Architecture, Technology and Applications, VLSI-SATA 2015

Performance analysis of transmission techniques for multi-user optical MIMO pre-coding for indoor visible light communication

Authors : Dr. Navin Kumar, Jha, M.K.; Lakshmi, Y.V.S.

Publisher : Proceedings of the 2017 International Conference on Wireless Communications, Signal Processing and Networking, WiSPNET

Design and implementation of fast floating point multiplier unit

Authors : P. Satish Kumar, Sunesh, N.V.; P. Sathish Kumar

Publisher : 2015 International Conference on VLSI Systems, Architecture, Technology and Applications, VLSI-SATA 2015

Reliable Router Architecture with Elastic Buffer for NoC Architecture

Authors : Dr. N. S. Murty, Dr. M. Vinodhini, Louis, R.; Vinodhini, M.; Dr. N.S. Murty

Publisher : 2015 International Conference on VLSI Systems, Architecture, Technology and Applications, VLSI-SATA 2015

Merged switch allocation and transversal with dual layer adaptive error control for Network-on-Chip switches

Authors : Dr. N. S. Murty, Dr. M. Vinodhini, Kalwad, H.a; Neeharika, S.b; Divya, S.c; M. Vinodhini; Dr. N.S. Murty

Publisher : 2015 International Conference on VLSI Systems, Architecture, Technology and Applications, VLSI-SATA 2015

Architecture for ASIC based batteryless multi-source energy harvesting system

Authors : Dr. N. S. Murty, Vijay, L.; Greeshma, K.K.; Dr. N.S. Murty

Publisher : 2015 International Conference on VLSI Systems, Architecture, Technology and Applications, VLSI-SATA 2015

Stability investigation for 1R-2W and 2R-2W Register File SRAM bit cell using FinFET in subthreshold region

Authors : Dr. N. S. Murty, Kirti S. Pande, Mohan, S.; K.S. Pande;

Publisher : 2015 International Conference on VLSI Systems, Architecture, Technology and Applications, VLSI-SATA 2015

Injection Locked Differential Ring VCO

Authors : Dr. P. Maran, Dr. Maran Ponnambalam, Premanand Venkatesh Chandramani

Publisher : 2013 IEEE Conference on Information Communication Technologies

Fuzzy controlled wireless touch screen based data acquisition system for pump monitoring and controlling

Authors : Dr. Jalpa Shah, U. S. Shah

Publisher : 2013 Nirma University International Conference on Engineering (NUiCONE)

Efficient dynamic Virtual Channel architecture for NoC

Authors : Sonali Agrawal, Avani P.

Publisher : Symposium on VLSI Design and Embedded Computing (VDEC’18), co-affiliated with Seventh International Conference on Advances in Computing, Communications and Informatics (ICACCI-2018)

Earliest execution demand first routing protocol for WDM optical networks

Authors : Dr. T. K. Ramesh, Rao, P.L.S.; Santosh, K.V.V.N.D.; Dr. T. K. Ramesh; Konda, S.K.

Publisher : Proceedings of the 2017 International Conference on Wireless Communications, Signal Processing and Networking, WiSPNET 2017

Wireless home appliances controlling system

Authors : Dr. Jalpa Shah, B. Modi; R. Singh

Publisher : 2014 International Conference on Electronics and Communication Systems (ICECS)

Spur reduction technique for fractional-N frequency synthesizer with MASH 1-1-1-1 Sigma Delta modulator

Authors : Dr. P. Maran, Dr. Maran Ponnambalam, Tamilselvan V; Premanand Venkatesh Chandramani

Publisher : 2014 International Conference on Communication and Signal Processing

High throughput feed forward pipelined parallel architecture for FFT and IFFT

Authors : Dr. Paramasivam C.

Publisher : 2015 International Conference on Innovations in Information, Embedded and Communication Systems (ICIIECS)

Power Allocation Schemes for Cognitive Radios

Authors : Dr. Arpita Thakre, V. Ganapathy

Publisher : IEEE Communication System Software and Middleware (COMSWARE)

Efficient Multicast Algorithm for Dynamic Intra Cluster Device-to-Device communication for small world model paper

Authors : Dr. T. K. Ramesh, Pushpalatha, M.; Shruthi, N.V.; Dr. T. K. Ramesh; Konda, S.K.

Publisher : 2015 International Conference on Advances in Computing, Communications and Informatics, ICACCI 2015

Characterization of mmWave link for outdoor communications in 5G networks

Authors : Dr. Navin Kumar, MaSheeba Kumari, Rao, S. Ab

Publisher : 2015 International Conference on Advances in Computing, Communications and Informatics, ICACCI 2015

Channel coding performance of optical MIMO indoor visible light communication

Authors : Dr. Navin Kumar, Jha, M.K.a; Addanki, A.b; Lakshmi, Y.V.S.c;

Publisher : 2015 International Conference on Advances in Computing, Communications and Informatics, ICACCI 2015

Determination of Absolute Heart Beat from Photoplethysmographic Signals in the Presence of Motion Artifacts

Authors : Dr. Navin Kumar, Karna, V.R.;

Publisher : Proceedings of 2018 2nd International Conference on Advances in Electronics, Computers and Communications, ICAECC

Image segmentation using thresholding for cell nuclei detection of colon tissue

Authors : Dr. Navin Kumar, Nawandhar, A.A.a; Yamujala, L.b

Publisher : 2015 International Conference on Advances in Computing, Communications and Informatics, ICACCI 2015

Detection of Ruptures in Pipeline Coatings using Split Ring Resonator Sensor

Authors : Dr. Dhanesh G. Kurup, Dr. R. V. Sanjika Devi, K. Nishkala, B Toshitha Royan, H M Aishwarya, Sanjika Devi R V

Publisher : 7th IEEE International conference on Advances in Computing, Communications and Informatics (ICACCI)

Design of a Tactile Braille Cell

Authors : Giriraja C. V., S. Bharath Reddy, Rohan, R., Alvina, G.

Publisher : 7th IEEE International conference on Advances in Computing, Communications and Informatics (ICACCI)

Combined Amplitude and Phase Noise Effects in QAM Direct Conversion Receivers

Authors : Dr. Dhanesh G. Kurup, A. V. Menon, M. Amita, Anjali G

Publisher : International Conference on Microwave, Optical and Communication Engineering (ICMOCE)

Bone Mineral Density Analysis using Ultra Wideband Microwave Measurements

Authors : Dr. Dhanesh G. Kurup, R. Augustine, S. Raman, D. Lee, K. Kim, and A. Rydberg

Publisher : IEEE International Microwave and RF Conference (ImaRC)

Design and analysis of 6 watt GaN based X-band Power Amplifier

Authors : Dr. Dhanesh G. Kurup, R. Yesshaswi, A. Pratheik, Karthi S., Devi Sanjika

Publisher : India Conference (INDICON-2015)

Design and Implementation of Quadrature Voltage Controlled Oscillator in 65nm CMOS

Authors : Vignesh V., Dr. Navin Kumar, S. Raman

Publisher : 7th IEEE International conference on Advances in Computing, Communications and Informatics (ICACCI)

Emotion Recognition through Speech Signal for Human-Computer Interaction

Authors : Dr. S. Lalitha, S. Lalitha; Patnaik S.; Arvind T.H.; Madhusudhan V.; Tripathi S.

Publisher : Proceedings – 2014 5th International Symposium on Electronic System Design, ISED 2014

Comparison of different acoustic Models for Kannada Language using Kaldi Toolkit

Authors : Dr. Deepa Gupta, K. Jeeva Priya, Srilasya, Sahana T., Vinay S., K. Jeeva Priya

Publisher : 7th IEEE International conference on Advances in Computing, Communications and Informatics (ICACCI)

BDAS: Preliminary Study on Microwave Sensor for Bone Healing Follow-up after Cranial Surgery in Newborns

Authors : Dr. Dhanesh G. Kurup, M. D. Perez, G. Thomas, Syaiful. S, J. Velander, N. Asan, P. Mathur, M. Nasir, D. Nowinski, R. Augustine

Publisher : 12th European Conference on Antenna and Propagation,London, (EuCap)

All Digital Phase Locked Loop for Low Frequency Applications

Authors : Kirti S. Pande, P. R. Bissa

Publisher : 2018 International Conference on Advances in Computing

Integer-N charge pump phase locked loop with reduced current mismatch

Authors : Dr. T. K. Ramesh, Aravinda K; Dr. T. K. Ramesh

Publisher : Proceedings of the 2017 International Conference on Wireless Communications, Signal Processing and Networking, WiSPNET 2017

Implementation of FIR Filter and MAC Unit by using Neural Networks in FPGA

Authors : P. Satish Kumar, Aditya Chauhan

Publisher : Symposium on VLSI Design and Embedded Computing (VDEC’18), co-affiliated with Seventh International Conference on Advances in Computing, Communications and Informatics (ICACCI-2018)

Implementation of Doppler beam Sharpening Technique for Synthetic Aperture Radars

Authors : Priya B. K., Mr. Kunj Dhonde, Ms. Peter Joseph Basil Morris

Publisher : Third International Conference on Emerging Research in Electronics, Computer Science Technology (ICERECT 2018), PES College of Engineering, Mandya

Implementation of Compact Wearable Fall Detector for the Elderly

Authors : Bhavana V., S. Sathish M. R, Krishna, V., S, A. V. S.

Publisher : 7th IEEE International conference on Advances in Computing, Communications and Informatics (ICACCI)

Implementation and Testing of Cyber Physical System in Laboratory for Precision Agriculture

Authors : Dr. Dhanesh G. Kurup, Gayathri Narayanan, D. V. S. Srikar, K. C. Sairam, T. Srikanth, Vrinda K

Publisher : Sixth International Symposium on Intelligent Informatics (ISI’ Sep 2018)

Paraphrase Identification in Telugu Using Machine Learning

Authors : Dr. Soman K. P., Aravinda Reddy, D.; Anand Kumar, M.; Alavi A.H.; Javadi B.

Publisher : Advances in Intelligent Systems and Computing

High Speed Low Power Approximate Multiplier

Authors : Sonali Agrawal, Kamya R. Verma

Publisher : Symposium on VLSI Design and Embedded Computing (VDEC’18), co-affiliated with Seventh International Conference on Advances in Computing, Communications and Informatics (ICACCI-2018)

New, effective and efficient dimming and modulation technique for visible light communication

Authors : Dr. Navin Kumar, Anand M.

Publisher : IEEE 79th Vehicular Technology Conference (VTC Spring), IEEE

Design of a corner fed serial microstrip patch antenna array using Genetic Algorithm

Authors : Dr. Dhanesh G. Kurup, P. Ridderström, A. Rydberg, and K. Wallin

Publisher : Electromagnetic Computations – Methods and Applications (EMB 01), Uppsala University

PWM closed loop controlled multi-output push-pull converter

Authors : Dr. K. Deepa, Padmaja, PJ; Kumar, Vipin

Publisher : ICCC

Soft switched flyback converter for SMPS applications

Authors : Dr. K. Deepa, Saju, Hridya Merin; M. Vijaya Kumar

Publisher : ICCC

Mobile Applications: Delivery Technologies In Multimedia Cloud Computing

Authors : Dr. Usha Menon

Publisher : International Journal of Engineering Research and Technology

CAD for RCS of complex objects

Authors : Dr. Dhanesh G. Kurup, B. Sinha

Publisher : Asia Pacific Microwave Conference

Design of photonic crystal based demultiplexer for CWDM technology

Authors : Dr. Kaustav Bhowmick, S. Saseendran; T. Sreenivasulu

Publisher : 2017 IEEE International Conference on Advanced Networks and Telecommunications Systems (ANTS)

Power combining using unequally spaced active reflect-array

Authors : Dr. Dhanesh G. Kurup, A. Rydberg, and M. Himdi

Publisher : Conference proceeding ANTENN-03 Kalmar, Sweden

Distance and energy aware device to device communication

Authors : Dr. T. K. Ramesh, A. Kumar; Jois, A.S.; Dr. T. K. Ramesh

Publisher : Proceedings of the 2019 IEEE International Conference on Communication and Signal Processing, ICCSP 2019

Equal gain combining technique in analog feedback communication system

Authors : Dr. Navin Kumar, Tengshe, R.; Platonov, A.

Publisher : Proceedings of the 2019 IEEE International Conference on Communication and Signal Processing, ICCSP 2019

SRAM cell with improved stability and reduced leakage current for subthreshold region of operation

Authors : Dr. N. S. Murty, Kirti S. Pande, Sreelakshmi, P.; K.S. Pande; Dr. N.S. Murty

Publisher : 2015 IEEE International Conference on Computational Intelligence and Computing Research, ICCIC 2015

Security incident management in ground transportation system using UAVs

Authors : P. Satish Kumar, Reshma, R.a; Ramesh, T.K.a; P. Sathish Kumar

Publisher : 2015 IEEE International Conference on Computational Intelligence and Computing Research, ICCIC 2015

A memory architecture using linear and nonlinear feedback shift registers for data security

Authors : Dr. N. S. Murty, Kirti S. Pande, Jose, J.; K.S. Pande;

Publisher : 2015 IEEE International Conference on Computational Intelligence and Computing Research, ICCIC 2015

Subthreshold voltage to supply voltage level shifter using modified revised wilson current mirror

Authors : Dr. N. S. Murty, Kirti S. Pande, Parimala, J.; Priyanka, K.; Kaumudi, L.S.; K.S. Pande; Dr. N.S. Murty

Publisher : 2015 IEEE International Conference on Computational Intelligence and Computing Research, ICCIC 2015

Low power clock Optimized Digital De-Skew Buffer with improved duty cycle correction

Authors : Dr. N. S. Murty, Puneeth, L.; Dr. N.S. Murty

Publisher : 2015 IEEE International Conference on Computational Intelligence and Computing Research, ICCIC 2015

A fault tolerant NoC architecture with runtime adaptive double layer error control and crosstalk avoidance

Authors : Dr. N. S. Murty, Dr. M. Vinodhini, M. Vinodhini; Lillygrace, K.; Dr. N.S. Murty

Publisher : 2015 IEEE International Conference on Computational Intelligence and Computing Research, ICCIC 2015

Analysis of Digit Recognition in Kannada Using Kaldi Toolkit

Authors : Dr. Deepa Gupta, K. Jeeva Priya, M. Sundar Kar K.

Publisher : Third International Conference on Emerging Research in Electronics, Computer Science & Technology (ICERECT 2018)

Speech emotion recognition using DWT

Authors : Dr. S. Lalitha, Mudupu, A.; Nandyala, B.V.; Munagala, R.

Publisher : 2015 IEEE International Conference on Computational Intelligence and Computing Research, ICCIC 2015

Modelling and implementation of two coupled Hodgkin-Huxley neuron model

Authors : Dr. Sunitha R., Dhanya, E.a; Pradhan, N.b; R.c Sunitha; Sreedevi, A.d

Publisher : 2015 International Conference on Computing and Network Communications, CoCoNet 2015

Information Criteria Based Optimal Structure Identification of RF Power Amplifier Models

Authors : Dr. Dhanesh G. Kurup, Srinadh Reddy Bhavanam, Sanjika Devi R V, Sriram Mudulodu

Publisher : International Symposium on Intelligent Systems Technologies and Applications (ISTA)

Design and analysis of a 6 Watt GaN based X-band power amplifier

Authors : Dr. Dhanesh G. Kurup, Dr. R. V. Sanjika Devi, R. Yeshaswy; A. Pratheik; R. V. S. Karteek; R. S. Devi;

Publisher : 2016 International Conference on Communication and Signal Processing (ICCSP)

QoS-alert Markov chain based scheduling scheme in internet of things

Authors : Dr. Navin Kumar, Sharma, R.

Publisher : 2015 IEEE Globecom Workshops, GC Wkshps 2015 – Proceedings

Quasi-automated firmware in e-automobiles: Structural integration

Authors : Sagar B., Sumedh, N.; Srinivasan, M.S.; Vivek, K.R.; Sagar B.

Publisher : Proceedings of the 9th International Conference On Cloud Computing, Data Science and Engineering, Confluence 2019

Wireless sensor network protocol for patient monitoring system

Authors : Giriraja C. V., Dr. T. K. Ramesh, Dr. T. K. Ramesh; Giriraja C. V.

Publisher : 2017 International Conference on Computer Communication and Informatics,

High gain micromachined slot-coupled patch-antenna for 60 GHz WLAN application

Authors : Dr. Dhanesh G. Kurup, E. Öjefors, J. Lindblom, A. Rydberg, Y. Bäcklund, F. Municio, T. Ryhanen, and H. O. Scheck

Publisher : proceedings COST-268, Rennes

Efficient Photovoltaic Solar Panel with Mirror Actuation

Authors : Anjali B. S., A. Bhuvanendran, Praful, V., and Ramprabhakhar, J.

Publisher : 7th IEEE International conference on Advances in Computing, Communications and Informatics (ICACCI)

Towards a toolbox for synthesis of integrated radio front-ends

Authors : Dr. Dhanesh G. Kurup, A. Rydberg

Publisher : Proceedings, NRS-01, Nordic Radio Symposium, Nynäshamn

Synthesis of Micromachined Antennas using the Genetic Algorithm

Authors : Dr. Dhanesh G. Kurup, A. Rydberg, E. Öjefors

Publisher : GigaHertz 2001 Symposium, University of Lund

Design of Reversible Logic Based 32-Bit MAC Unit Using Radix-16 Booth Encoded Wallace Tree Multiplier

Authors : Dr. N. S. Murty, Hari Sai Ram Vamsi; Kotha Srinivasa Reddy; C. Babu;

Publisher : International Conference on Computer Communication and Informatics, ICCCI 2018

Improved error detection and correction for memory reliability against multiple cell upsets using DMC & PMC

Authors : C. Babu, Manoj, S.

Publisher : 2016 IEEE Annual India Conference, INDICON 2016, Institute of Electrical and Electronics Engineers Inc.

Zynq FPGA based system design for video surveillance with sobel edge detection

Authors : Sonali Agrawal, Eetha, S.; S. Agrawal; Neelam, S.

Publisher : Proceedings – 2018 IEEE 4th International Symposium on Smart Electronic Systems, iSES 2018

Multi-bit error correction coding with crosstalk avoidance using parity sharing technique for NoC

Authors : Dr. M. Vinodhini, Rajagopal, S.; M. Vinodhini; Murty, N.S.

Publisher : Proceedings – 2018 IEEE 4th International Symposium on Smart Electronic Systems, iSES 2018

Bit Error Rate (BER) Performance Analysis of DASH7 Protocol In Rayleigh Fading Channel

Authors : Dr. Dhanesh G. Kurup, Dr. Jalpa Shah, Arawind K, Jalpa Shah

Publisher : IEEE International conference on Computing, Communications and Informatics (ICACCI)

Generalized spatial modulation for multi-user in visible light communication

Authors : Dr. Navin Kumar, Kumar Jha, M.; Lakshmi, Y.V.S.

Publisher : 2019 28th Wireless and Optical Communications Conference, WOCC 2019 – Proceedings

Comparison of model order selection techniques for high-resolution parameter estimation algorithms

Authors : Dr. Arpita Thakre, J. Paulo Carv da Costa, Roemer, F., and Haardt, M.

Publisher : Proc. 54th International Scientific Colloquium (IWK), (Ilmenau, Germany), Sept. 2009

Active reflect-antennas for power combining unequally spaced arrays

Authors : Dr. Dhanesh G. Kurup, A. Rydberg, and M. Himdi

Publisher : Radio Science and Communications, Stockholm

An architecture for high speed Radix10 division

Authors : Sonali Agrawal, Dr. N. S. Murty, Neethu S.; Dr. N.S. Murty

Publisher : 2016 International Conference on Computer Communication and Informatics (ICCCI)

A fast architecture for maximum/minimum data finder with address from a set of data

Authors : Sonali Agrawal, Smrithi, S.V.;

Publisher : International Conference on Computer Communication and Informatics, ICCCI 2016, Institute of Electrical and Electronics Engineers Inc

2-D Normalized Frequency Estimation Using 4-way Tensor Processing

Authors : Dr. Arpita Thakre, Giridhar., K.

Publisher : 20th IEEE Symposium on Personal Indoor and Mobile Radio Communications (PIMRC)

Code with Crosstalk Avoidance and Error Correction for Network on Chip Interconnects

Authors : Dr. M. Vinodhini, K. Anupama Sa Lakshmi, M, K. A. ., Sri, K. Madhu

Publisher : SCAD College of Engineering and Technology, Tirunelveli

Video Annotation using Saliency.

Authors : Dr. Amudha J., Dr. Soman K. P., Vasanth, K

Publisher : IPCV

Spectral Response and Emission Characteristics of Isolated and Clustered Micro-resonators

Authors : Dr. Kaustav Bhowmick, Benson, TM; Boriskina, Svetlana V; Kuhl, U; Stöckmann, HJ

Publisher : PIERS 2008

Conference Proceedings

Digitally assisted analog predistortion technique for power amplifier

Authors : Dr. Praveen Jaraut, K. Gumber, M. Rawat and K. Rawat

Publisher : IEEE

OpenGL Based Simulation Test Bed for Aircraft Ground Telemetry System using Antenna Beam Forming

Authors : Dr. Parul Mathur, Surya, Mangu Aman, Lakshmi, P H, Sri, Kuruganti Madhu, Saketh, Amancherla Shanmukha Sai, Kailash, Devendra J Patra, Tarun Sai, P, ain, Vineetha, G.Kurup, Dhanesh

Publisher : IEEE

Design and Implementation of Power-Efficient and Fast Full Adders Using Hybrid Logics

Authors : Sonali Agrawal, Chilukuri sai Vamsi, Sanagaram Arvind Kasyap, S saiprateeka

A Smart Device for Power Theft Detection

Authors : Dr. Mamatha I., A. Jagadeesh, S. PraneethVarma, A. Sreeja

Publisher : Springer

Fabrication of Microfluidics Channel with Bi-layer Mo Mask and glass bonding Using custom design clamp

Authors : Dr. Sandeep Singh Chauhan, Niharika J, Narendra Kumar, Sushanta Dutta, and S. K. Manhas

Publisher : IEEE

Fabrication of Flexible Metglas Based Magnetic Energy Harvester using PVDF-TrFE/KNN Composite Film

Authors : Dr. Sandeep Singh Chauhan, Maninder Kaur, Nitika Batra, Madhusudan Singh, and Bhaskar Mitra

Publisher : IEEE

A Hybrid Novel Cascaded Asymmetrical 21-level Inverter with Reduced Switches

Authors : Dr. Sandeep Singh Chauhan, Madan Das, Kartick Jana, Parusharamulu Buduma, Pal, Pradipta, Sukumar Mishra

Publisher : IEEE

Quality of Service in Ad-hoc Networks using Fuzzy Logic Concepts

Authors : Ms. Pavithra P, C. Venkatesh

Publisher : NCBBCOMPavithra. P,

Video Based Drowning Detection System

Authors : Ms. Pavithra P, Nandini S; Nanthana A; Noor Tabreen Aslam; Praveen Kumar P

Publisher : ICDI3C

Smart Door Lock System for The Elderly And Disabled

Authors : Ms. Pavithra P, I. Sumedha, L. Umashankar, M. M. Rai and M. Swathi

Publisher : ICDI3C

Quality of Service in Ad-hoc Networks using Fuzzy Logic Concepts

Authors : Ms. Pavithra P, C. Venkatesh

Publisher : Webtops – National Conference at Jaya Engineering college

Singular Value Decomposition for Channel Enhancement in MIMO systems

Authors : Ms. Pavithra P, K. N. Meera

Publisher : Amity School of Engineering and Technology

AUTONOMOUS SELF-PARKING ROBOT

Authors : Ms. Pavithra P, Fedricky Ropmay, Aoorva J Shet,Avinash Killikyatar, Ankit Kumar

Publisher : MVJ College of Engineering, Bangalore,

A Vector Quantization Based Feature Descriptor for Online Signature Verification

Authors : Dr. Vivek Venugopal, Abhishek Sharma, Rishabh Singh, Abhinav Sharma and Suresh Sundaram

Publisher : International Conference on Document Analysis and Recognition

Online Writer Identification Using Sparse Coding and Histogram Based Descriptors

Authors : Dr. Vivek Venugopal, Isht Dwivedi, Swapnil Gupta, Vivek Venugopal and Suresh Sundaram

Publisher : International Conference on Frontiers in Handwriting Recognition

Online writer identification using GMM based feature representation and writer-specific weights

Authors : Dr. Vivek Venugopal, Suresh Sundaram

Publisher : International Conference on Document Analysis and Recognition

Offline Writer Identification Using Local Derivative Pattern

Authors : Dr. Vivek Venugopal, Salil Kanetkar, Ayush Pathania, Suresh Sundaram

Publisher : International Conference on Frontiers in Handwriting Recognition

A Hierarchical Codebook Descriptor Approach for Online Writer Identification

Authors : Dr. Vivek Venugopal, Surbhi Pillai and Suresh Sundaram

Publisher : International Conference on Frontiers in Handwriting Recognition

An update on Location Based Services: Current and Future Prospects

Authors : Priya R, Laxmi Sharma; Abhishek Javali; Rahul Nyamangoudar; Pallavi Mishra; Sudhir K. Routray

Publisher : Surya Engineering College

Comparison of Online Writer Identification Systems for Limited Data

Authors : Dr. Vivek Venugopal, Chinnapapakkagari Sreenivasa Vikranth, Bodavula Jagadeesh, Darla Vineeth Prithvi, Nimmala Chaitanya Sai Kumar, Thanikonda Gopi Krishna

Publisher : 6th International Conference on Intelligent Computing and Control Systems

DTCWT based High Capacity Steganography using coefficient Replacement and Adaptive Scaling

Authors : Priya R, Sathisha, N.; Priya, R.; Babu, K. Suresh; Raja, K. B.; Venugopal, K. R.; Patnaik, L. M.

Publisher : Proceedings Volume 9067, Sixth International Conference on Machine Vision

Design of Multiband Planer Antenna for Mobile Devices

Authors : Dr. Navin Kumar, Snehalatha T K A C

Publisher : IEEE

System Modeling using Predictor classes

Authors : Sagar B., N. Sumedh, P. G. Hitesh

Publisher : Innovations in Power and Advanced Computing Technologies (i-PACT)

ICT-Based Enhancement of Employment Schemes: A Case Study in Rural Uttarakhand, India

Authors : Sagar B., Aaryan Oberoi, Sritha Bandla, Harini Mohan, Saurav Bhattacharjee, Subrahmanyam Raparthi, L. M. Frey & Souresh Cornet

Publisher : Springer

Study of an activity tracking device for rural workers through collaborative design

Authors : Sagar B., Aaryan Oberoi, Harini Mohan, Subrahmanyam Raparthi, Sourav Bhattacharjee, Souresh Cornet

Publisher : IEEEXplore

An Effective Real-Time Approach to Automatic Number Plate Recognition (ANPR) Using YOLOv3 and OCR

Authors : Jeyanthi R., Sinha, H., Soumya, G.V., Undavalli, S., Paprzycki, M., Thampi, S.M., Mitra, S., Trajkovic, L., El-Alfy

Publisher : Springer

Transformed WLS-Based Data Reconciliation for a Large-Scale Process Network

Authors : Jeyanthi R., Chakradhar, B., Shanmugam, G., Datta, K.L.N.S., Jeyanthi, R., Sravani, O., Dhakshana, S.M.

Publisher : Springer

Design and Development of Safety Device in Passenger Cabs to Assure Safety of Women Passengers

Authors : Nandi Vardhan Harlalli Rajendra, S. Jayakrishna Teja; ; Nikhil Sarika; Dr. Rakesh S. G.; M. Vijayendra

Publisher : International Conference on Electrical, Electronics, Communication, Computer Technologies and Optimisation Techniques –

An Ultra-low Phase Noise, Low Power 28GHz Frequency Synthesizer for 5G Applications

Authors : Vignesh V., Karra, Kameswara Sarma;

Publisher : 2018 15th IEEE India Council International Conference

Image Quality Compression Based on Non-Zeroing Bit Truncation using Discrete Cosine Transform

Authors : Vignesh V., Shyam, Bhimaraju; V. Vignesh

Publisher : 2020 4th International Conference on Electronics, Materials Engineering Nano-Technology (IEMENTech)

High Speed Low Power Radix 4 Approximate Booth Multiplier

Authors : Swaminadhan R., Varghese, Nivya Rose; Swaminadhan R.

Publisher : 2019 3rd International Conference on Electronics, Materials Engineering Nano-Technology (IEMENTech)

Power Efficient Router Architecture for Scalable NoC

Authors : Swaminadhan R., Varghese, Nivya; Swaminadhan R.

Publisher : Innovations in Electronics and Communication Engineering

Dynamics of a Neural Mass Coupled With Probabilistic Distributions-A Pilot Study

Authors : Dr. Sunitha R., R. Sunitha; Sreedevi A.

Publisher : Procedia Computer Science,

All in one smart health device

Authors : Priya B. K., Vivek K. R.; Vishrut Chawla; Ms. Priya B. K.

Publisher : 2019 Global Conference for Advancement in Technology

Analysis and Comparison of Different Channel Coding Techniques for Underwater Channel using AWGN and Acoustic Channel

Authors : Priya B. K., Mounika, B.;

Publisher : 2018 International Conference on Electrical, Electronics, Communication, Computer, and Optimization Techniques

Implementation of Linear Prediction Coefficients in G.729E Using VHDL for Man Mission Applications

Authors : Priya B. K., Nidhi, P.G; Dakshayani, S.P. Deeksha; Sushma, S; Neelima, V; Ms. Priya B. K.

Publisher : 2019 International Conference on Smart Systems and Inventive Technology (ICSSIT)

Design and Implementation of 1553B Bus Controller on FPGA

Authors : Priya B. K., Rao, Durga Prasad V; Raja, Aishwarya; Karthikeyan, R; Pal, K Vijay; Tharun, S V; Ms. Priya B. K.

Publisher : 2019 3rd International Conference on Computing Methodologies and Communication (ICCMC)

An Innovative and Effective Electronic Based Automatic Rainwater Harvesting System

Authors : Priya B. K., Lasya Bandi; Bhavana Yetinthala; Deekshitha Bachu; Ms. Priya B. K.

Publisher : 2020 Third International Conference on Smart Systems and Inventive Technology (ICSSIT)

Neural network based data validation algorithm for pressure processes

Authors : Jeyanthi R., Mounika, Batthina; Raghu, Guntupalli; Sreelekha, Surabhi

Publisher : ICCICCT

Modeling of Multivariate Systems using Vector Autoregression(VAR)

Authors : Jeyanthi R., C.J., Harivigneshwar; K.B., Dharmavenkatesan; R., Ajith; Jeyanthi R.

Publisher : i-PACT

An End-to-End Model for Detection and Assessment of Depression Levels using Speech

Authors : Dr. S. Lalitha, N.S. Srimadhur; S. Lalitha

Publisher : Procedia Computer Science

Speech emotion recognition

Authors : Dr. S. Lalitha, S. Lalitha, Madhavan, A., Bhushan, B., and Saketh, S

Publisher : International Conference on Advances in Electronics Computers and Communications

FPGA based real time bluetooth communication for industrial safety monitoring

Authors : Dr. Paramasivam C., Paramasivam C., Aravindhan, E., R. priya, H., Hema, M., and A. singh, C

Publisher : Proceedings on International Conference on Modern Global Research in Engineering & Technology (ICMGRET)

Performance of Ultra Wideband (UWB) pulsed Doppler Radar for heart rate and respiration rate monitoring in Noise

Authors : Dr. Parul Mathur, Shruthi N., Parul Mathur, and Dr. Dhanesh G. Kurup

Publisher : International Conference on Advances in Computing, Communications and Informatics (ICACCI)

Traffic classifications in switched Ethernet

Authors : Dr. T. K. Ramesh, Dr. T. K. Ramesh, S., S., and S., E

Publisher : NACTECIT – 2010. CMR Institute of Technology

A Proactive and Self Regulating Protocol for WDM All-Optical Networks

Authors : Dr. T. K. Ramesh, Dr. T. K. Ramesh and Vaya, P. R

Publisher : iCIRET 2010. Park College of Engineering and Technology

A Simple Distributed Dynamic Routing Algorithm with Blocking Probability Heuristics for Fault Tolerant Computing in All- Optical WDM Networks

Authors : Dr. T. K. Ramesh, Dr. T. K. Ramesh and Vaya, P. R.

Publisher : ICODC 2010 . Oxford College of Engineering and Technology Bengaluru

An efficient algorithm for Routing issues in WDM optical networks

Authors : Dr. T. K. Ramesh, Dr. T. K. Ramesh and Vaya, P. R

Publisher : NCICT‐2010. New Horizon College of Engineering

State dependent attempt rate modeling of single cell IEEE 802.11 WLANs with homogeneous nodes and poisson arrivals

Authors : Dr. Manoj Kumar Panda, Kumar, Anurag

Publisher : 2009 First International Communication Systems and Networks and Workshops

Modeling finite buffer effects on TCP traffic over an IEEE 802.11 infrastructure WLAN

Authors : Dr. Manoj Kumar Panda, Onkar Bhardwaj; G. V. V. Sharma; Anurag Kumar

Publisher : 2009 First International Communication Systems and Networks and Workshops

OPSM – Opportunistic Power Save Mode for Infrastructure IEEE 802.11 WLAN

Authors : Dr. Manoj Kumar Panda, Pranav Agrawal; Anurag Kumar; Joy Kuri; Vishnu Navda; Ramachandran Ramjee

Publisher : 2010 IEEE International Conference on Communications Workshops

Modeling multi-cell IEEE 802.11 WLANs with application to channel assignment

Authors : Dr. Manoj Kumar Panda, Anurag Kumar

Publisher : 2009 7th International Symposium on Modeling and Optimization in Mobile, Ad Hoc, and Wireless Networks

Improving broadcast efficiency of irresponsible forwarding with random linear coding at source

Authors : Dr. Manoj Kumar Panda, Trung Hoang; Hai Le Vu

Publisher : Proceeding of IEEE International Symposium on a World of Wireless, Mobile and Multimedia Networks 2014

Analytical models for energy consumption in infrastructure WLAN STAs carrying TCP traffic

Authors : Dr. Manoj Kumar Panda, P. Agrawal; A. Kumar; J. Kuri; V. Navda; R. Ramjee; V. N. Padmanabhani

Publisher : 2010 Second International Conference on COMmunication Systems and NETworks (COMSNETS 2010)

Estimating File-Spread in Delay Tolerant Networks under Two-Hop Routing

Authors : Dr. Manoj Kumar Panda, Arshad Ali; Eitan Altman; Tijani Chahed; Dieter Fiems; Lucile Sassatelli

Publisher : Springer Berlin Heidelberg

A new proposal for reliable unicast and multicast transport in Delay Tolerant Networks

Authors : Dr. Manoj Kumar Panda, Arshad Ali; Tijani Chahed; Eitan Altman; Lucile Sassatelli

Publisher : 2011 IEEE 22nd International Symposium on Personal, Indoor and Mobile Radio Communications

Particle Filter for Reliable Bus Travel Time Prediction under Indian Traffic Conditions (Best Paper Award)

Authors : Dr. Manoj Kumar Panda, B. Dhivyabharathi, Anilkumar, B., Vanajakshi, L.

Publisher : 3rd Conference of the Transportation Research Group of India, CTRG

Performance Comparison of Filtering Techniques for Real Time Traffic Density Estimation under Indian Urban Traffic Scenario

Authors : Dr. Manoj Kumar Panda, B. Dhivyabharathi; Shrikant Fulari; Rushikesh Amrutsamanvar; Lelitha Vanajakshi; Shankar C. Subramanian;

Publisher : 2015 IEEE 18th International Conference on Intelligent Transportation Systems

Motion and Connectivity Aware Offloading in Cloud Robotics via Genetic Algorithm

Authors : Dr. Manoj Kumar Panda, A. Rahman; J. Jin; A. Cricenti; A. Rahman;

Publisher : GLOBECOM 2017 – 2017 IEEE Global Communications Conference

Low Energy Sensor Data Collection using Unmanned Aerial Vehicles

Authors : Dr. Manoj Kumar Panda, S. Smruthi; R. S. Krishna;

Publisher : 2019 3rd International Conference on Trends in Electronics and Informatics (ICOEI)

Road Boundary Detection using 3D-to-2D Transformation of LIDAR Data and Conditional Generative Adversarial Networks

Authors : Dr. Manoj Kumar Panda, Leela Aishwarya T.;

Publisher : 2020 11th International Conference on Computing, Communication and Networking Technologies (ICCCNT)

Traffic Counting and Turning Fraction Estimation using Vehicle-to-UAV Co-operative communication

Authors : Dr. Manoj Kumar Panda, S. Sreenath;

Publisher : 2019 3rd International Conference on Trends in Electronics and Informatics (ICOEI)

Traffic Sign Recognition Using Distributed Ensemble Learning

Authors : Dr. Manoj Kumar Panda, Putrevu, Satya Goutham;

Publisher : 2020 Fourth International Conference on Computing Methodologies and Communication (ICCMC)

Development of a Framework for Remote Health Monitoring

Authors : Dr. Navin Kumar, V. KR

Publisher : Springer 5th International Conference on ICT for Sustainable Development (ICT4SD)

Design of Quadrature Voltage Controlled Oscillator at 60GHz in 65nm CMOS

Authors : Dr. Navin Kumar, Vignesh V., Raman, Sethu;

Publisher : 2018 International Conference on Advances in Computing, Communications and Informatics

Visible Light Communication for Advanced Driver Assistant System

Authors : Dr. Navin Kumar, Alves, L. Nero, and Aguiar, R. L.

Publisher : Conference on Telecommunication (ConfTele’09)

Design Considerations for Multihop Relay Broadband Wireless Mesh Network

Authors : Dr. Navin Kumar

Publisher : Conference on Electrical Engineering (CEE -2007)

Design of Generalized Rational Sampling Rate Converter Using Multiple Constant Multiplication

Authors : Dr. Navin Kumar, Gayathri, K.; Krishna, B. Aravind;

Publisher : International Conference on Communication, Computing and Electronics Systems: Proceedings of ICCCES 2019

A Neural Network Based Overvoltage Prediction System for Long Cable Issue

Authors : Vineetha Jain K. V., Dr. Mini Sujith, Dr. Dhanesh G. Kurup, Joseph, Anju;

Publisher : Intelligent Computing, Information and Control Systems, Springer International Publishing

Efficient Hardware Implementation of Neural Network for Signal Processing Application

Authors : Vineetha Jain K. V., Dr. Dhanesh G. Kurup, V. Bharadwaja, R., A., Nikhil, S., Jalpa Shah

Publisher : 7th International Conference on Advances in Computing, Communications and Informatics (ICACCI 2018). PESIT

Large-scale congestion analysis using compressed measurements

Authors : Dr. Manoj Kumar Panda, Maryam Haghighatt, Hai Le Vu, Hans van Lint

Publisher : IEEE 19th International Conference on Intelligent Transportation Systems (ITSC)

QR Code based Path Planning for Warehouse Management Robot

Authors : Dr. A. A. Nippun Kumaar, P. R. Teja;

Publisher : 2018 International Conference on Advances in Computing, Communications and Informatics (ICACCI)

Technologies for Efficient Water Utilization in the Village of Maira, Punjab

Authors : Kavitha C. R., Jayanth Prasad, Ravilla; Ashwath, R.; Dinesh Babu, V.; Krishnan, A.; V. Vignesh; Renjith Mohan

Publisher : ICDSMLA 2019, Springer Singapore

Fostering learning outcomes via super-short-term SAPs: focusing on university students’ exchange with overseas peers

Authors : Dr. Pooja Kenchetty P., Kanduboda, Prabath, BhagyaPabasarani, Dev Rathnasekara, Dulanjali, Jayasekar

Publisher : Social Science Research Network

Saturation throughput analysis of a system of interfering IEEE 802.11 WLANs

Authors : Dr. Manoj Kumar Panda, A. Kumar; S. H. Srinivasan

Publisher : Sixth IEEE International Symposium on a World of Wireless Mobile and Multimedia Networks

Architecture for a class of scalable optical cross-connects

Authors : Dr. Manoj Kumar Panda, T. Venkatesh; V. Sridhar; Y. N. Singh

Publisher : First International Conference on Broadband Networks

Loss sharing with TTL routing

Authors : Dr. Manoj Kumar Panda, S. H. Srinivasan

Publisher : IEEE International Conference on Multimedia and Expo (ICME)(IEEE Cat. No.04TH8763)

The Integrated Network Management (INM) framework

Authors : Dr. Manoj Kumar Panda, Hai L. Vu; Serge P. Hoogendoorn; Erik-Sander Smits, Hendrik Zurlinden; Vincent Vong; Paul Lam

Publisher : 23rdWorld Congress on Intelligent Transport Systems, Melbourne, Australia, ITS WC

A foundational model to spot indications of generalized anxiety disorder and assist mental well-being

Authors : Priya B. K., Puttaparthi Revanthsai, N Sai Maadhurya, Sai Dhruthi Varna Konijeti

Publisher : IOP Publishing Ltd

Implementation of Child Safety Alert System in Automobiles

Authors : Priya B. K., Eeda Srinavya, Maddula Bhaswitha, S Siva Vineeth

Publisher : IEEE

A Review on preparation, efficiency and emissions of Mahua Biodiesel and its blends

Authors : Vignesh V., Aditya Sai, K.; Manikanta, S.; P. Vignesh; Naveen Kumar; Sai Teja P.; Dinesh kumar, L.

Publisher : AIP Conference Proceedings, Hyderabad

Agrifucus for Precision Farming

Authors : Priya B. K., Tallam Charan Nikhil; Tallam Karthik; Tummuri Rajasekhar Reddy

Publisher : Adhiparasakthi Engineering College

Wavelet Based Medical Image Fusion Using Filter Masks

Authors : Dr. Susmitha Vekkot

Publisher : Springer Berlin Heidelberg

A Novel Architecture for Wavelet based Image Fusion

Authors : Dr. Susmitha Vekkot

Publisher : World Academy of Science

Gaussian Filter Based à-Trous Algorithm for Image Fusion

Authors : Dr. Susmitha Vekkot

Publisher : Association for Computing Machinery, New York

Glottal excitation and spectral mapping for voice conversion

Authors : Dr. Susmitha Vekkot, Avarachan A., Tripathi S.

Publisher : International Conference on Signal and Image Processing

Laughter Synthesis using Mass-spring Model and Excitation Source Characteristics

Authors : Dr. Susmitha Vekkot, Juhitha, Konduru; ; Yogesh, Modh Jay; Tripathi, Shikha; Shashank, R.

Publisher : 2018 International Conference on Advances in Computing, Communications and Informatics (ICACCI)

Voice Transformation using Pitch and Spectral Mapping

Authors : Dr. Susmitha Vekkot

Publisher : International Conference on Advances in Computing, Communications and Informatics (ICACCI)

Multiple Model Filtering for Vehicle Trajectory Tracking with Adaptive Noise Covariances

Authors : Dr. Manoj Kumar Panda, Nithin, M.

Publisher : Intelligent Computing, Information and Control Systems, Springer International Publishing,

Posit Number Division using Newton Raphson Method

Authors : Dr. Kamatchi S., Dhage Navaneet Rao;Sai Ram Degala;Ganne Sai Charan

Publisher : International Conference on Advances in Electrical, Computing, Communications and Sustainable Technologies (ICAECT 2021).

Comparative study of recent compressed sensing methodologies in astronomical images

Authors : Dr. Soman K. P., Dr. Sachin Kumar S., Dr. Nidhin Prabhakar T. V., Hemanth V. K.; Soman A.

Publisher : Communications in Computer and Information Science

A centralized priority based RWA protocol for WDM networks

Authors : Dr. T. K. Ramesh, Nageswara Reddy, A.; Praveen Kumar, G.V.L.; Biju, K.; Vaya, P.R.

Publisher : Communications in Computer and Information Science

Advanced centralized RWA protocol for WDM networks

Authors : Dr. T. K. Ramesh, Konda S. K.; Vaya P. R.

Publisher : 2011 Annual IEEE India Conference: Engineering Sustainable Solutions, INDICON-2011

Design & Implementation of the Prevention and Analysis of the Accident for Automobiles

Authors : Priya B. K., V. Pagadala, Rani, S.

Publisher : 7th IEEE International conference on Advances in Computing, Communications and Informatics (ICACCI)

Minimization of area in DSP application based high speed arithmetic circuits

Authors : Dr. Paramasivam C.

Publisher : Proceedings on Silver Jubilee conference on communication technologies and VLSI design

An Improved auto scan design approach for sequential circuits

Authors : Dr. Paramasivam C., S Mahendran

Publisher : Proceedings on National conference on Advanced Communication and Computing

Low Power hardware architecture for VBSME using PIXEL truncation

Authors : Dr. Paramasivam C., N Ramkumar

Publisher : Proceedings on National conference on Recent advancements in engineering technology

Dynamic facial emotion recognition from 4D video sequences

Authors : Dr. Suja P., Dr. Shikha Tripathi

Publisher : Contemporary Computing (IC3), 2015 Eighth International Conference on IEEE

Distributed Dynamic Multipath RWA Algorithm for Enhancement of QoS for all-optical WDM Networks

Authors : Dr. T. K. Ramesh, Ashok S; Bithil K B; Deekshit Nayanar; P R Vaya

Publisher : ICIME

A Survey on Enhancing the Interoperability aspect of IoT Based System

Authors : Dr. T. K. Ramesh, M. Daliya V. K and Dr. T. K. Ramesh

Publisher : International Conference on Smart Technologies for Smart Nation

A study of dealing serially correlated data in GED techniques

Authors : Jeyanthi R., Hiremath, N.; Naveen Kumar, S.; Surya Narayanan, N.S.; Jeyanthi R.

Publisher : IEEE

Survivable traffic grooming RWA protocol for WDM networks

Authors : Dr. T. K. Ramesh, Konda, S.K.; Vaya, P.R.

Publisher : Procedia Engineering

Power Efficient Resource Utilization Protocol for WDM Optical Networks

Authors : Dr. T. K. Ramesh, Ms. P. L. Sindhuja Rao; Mr. K. V. V. N. D. Santhosh

Publisher : 6th International Conference on Advances in Computing, Communications and Informatics

RWA protocol for larger WDM networks

Authors : Dr. T. K. Ramesh, Janani, R.; Prannoy Kiran, S.; Swapna, T.; Vaya, P.R.

Publisher : Communications in Computer and Information Science

Plant Health Analyser

Authors : Giriraja C. V.

Publisher : 6th International Conference on Advances in Computing, Communications and Informatics

A Mechanism for Localization and Environment Supervision using Wireless Networks

Authors : Rajesh M., Arya S, Rajkumar P. Sreedharan

Publisher : International Conference On Smart Technologies For Smart Nation

Intelligent Motion Control of Bots using Hill Hold Assistance Mechanism

Authors : Rajesh M., Aishwarya Nair, Rajkumar P. Sreedharan

Publisher : International Conference On Smart Technologies For Smart Nation

Modified MLBF based architecture for 1-D DWT

Authors : Dr. Mamatha I., S. V. B. Bala Sai; Dr. Shikha Tripathi; T. S. B. Sudarshan

Publisher : 2015 IEEE International Conference on Computational Intelligence and Computing Research (ICCIC)

Pose invariant method for emotion recognition from 3D images

Authors : Dr. Suja P., Krishnasri, D.; Dr. Shikha Tripathi

Publisher : 12th IEEE International Conference Electronics, Energy, Environment, Communication, Computer, Control: (E3-C3), INDICON 2015

Design of open ended circular waveguide for non-invasive monitoring of cranial healing in pediatric craniosynostosis

Authors : Dr. Dhanesh G. Kurup, Dr. Parul Mathur, Parul Mathur; R. Augustine

Publisher : 2017 1st IEEE MTT-S International Microwave Bio Conference, IMBioC 2017, Institute of Electrical and Electronics Engineers Inc.

Modular Assembly Systems in Industry 4.0 Milieu

Authors : Priya B. K., Nalini S., Dr. T. K. Ramesh, Sumedh N, O. V. L. Narayana, Madhav Reddy,, Ms.

Publisher : 2nd IEEE International Conference on Power Electronics, Intelligent Control and Energy Systems (ICPEICES) 2018

Emotion Recognition using DWT, KL Transform and Neural Network

Authors : Dr. Suja P., Dr. Shikha Tripathi; D.N.Keerthana;

Publisher : International Conference on advances in Signal Processing and Communications (SPC2013), ACEEE

Modified Scaling-Free Micro-rotation based Circular CORDIC Algorithm using Taylor Series Expansion of Sine and Cosine

Authors : Dr. Paramasivam C., Arunnehru S

Publisher : Proceedings on IEEE International Conference on Innovations in Engineering and Technology (ICIET)

Automatic Waste Segregator

Authors : Dr. Sreeja Kochuvila, M. Sharanya A., Harika, M. U., Sriya, M. N.

Publisher : 6th International Conference on Advances in Computing, Communications and Informatics

Area and Time Efficient Hardwired Pre –Shifted Bi-Rotation CORDIC Design

Authors : Dr. Paramasivam C., M. Manikandan

Publisher : Proceedings on 3rd IEEE International Conference on Communication and Signal Processing

Energy Efficient VLSI architecture for MPEG-2 Video Decoding

Authors : Dr. Paramasivam C., C. Arul Murugan

Publisher : Proceedings on International conference on Computing, Communication and Applications(ICCCA)

Modifid Scaling-Free based Circular CORDIC algorithm using Taylor Series Expansion

Authors : Dr. Paramasivam C., Arunnehru S.

Publisher : International conference on Innovations in Information, Embedded and Communication Systems

Optimal sensor data harvesting using a mobile sink

Authors : Dr. Manoj Kumar Panda, S. R. Nikhitha

Publisher : Procedia Computer Science

Pipelined architecture for filter bank based 1-D DWT

Authors : Dr. Mamatha I., Dr. Shikha Tripathi; Sudarshan TSB

Publisher : 2016 3rd International Conference on Signal Processing and Integrated Networks (SPIN), IEEE.

Inter-emotion conversion using dynamic time warping and prosody imposition

Authors : Dr. Susmitha Vekkot, Dr. Shikha Tripathi.

Publisher : Advances in Intelligent Systems and Computing.

Significance of Glottal Closure Instants detection algorithms in Vocal Emotion Conversion

Authors : Dr. Susmitha Vekkot, Dr. Shikha Tripathi

Publisher : 7th International Workshop on Soft Computing Applications (SOFA2016), 24-26 Aug, 2016, Arad, Romania, Proceedings published by Springer (Scopus-Indexed).

Preliminary study on microwave sensor for bone healing follow-up after cranial surgery in newborns

Authors : Dr. Parul Mathur, Perez, M.D.; Thomas, G.; Shah, S.R.M.; Velander, J.; Asan, N.B.; Parul Mathur; Nasir, M.; Nowinski, D.; Kurup, D.; Augustine, R.

Publisher : IET Conference Publications

A survey on enhancing the interoperability aspect of IoT based systems

Authors : Dr. T. K. Ramesh, M. Daliya V. K

Publisher : Proceedings of the 2017 International Conference On Smart Technology for Smart Nation, SmartTechCon 2017

Penetration Depth Evaluation of Split Ring Resonator sensor using In-Vivo Microwave Reflectivity and Ultrasound Measurements

Authors : Dr. Dhanesh G. Kurup, Dr. Parul Mathur, Syaiful. S, J. Velander, P. Mathur, M. D. Perez, N. Asan, T. Blokhuis, and R. Augustine

Publisher : 12th European Conference on Antenna and Propagation (EuCap)

Smart Street Lighting with Reduced Sensors for Sustainable and Efficient Smart Cities

Authors : Sagar B., Sritha Bandla; Nidhi Gangrade

Publisher : Proceedings of 2018 2nd International Conference on Advances in Electronics, Computers and Communications, ICAECC 2018

A low complexity and reconfigurable SDF-FFT processor for Wireless Applications

Authors : Dr. Paramasivam C., M.Melkiya Jones

Publisher : Proceedings on 3rd IEEE International Conference on Innovations in Information Embedded and Communication Systems(ICIIECS)

An In-Place FFT and IFFT architecture for radix-22 algorithm using modified scaling free CORDIC

Authors : Dr. Paramasivam C., Jayanthi K. B

Publisher : Proceedings on IEEE sponsored 3rd International Conference on Electronics and Communication Systems

Simulation of cortical epileptic discharge using Freeman’s KIII model

Authors : Dr. Sunitha R., Vijaykumar, P.; R. Sunitha; Pradhan, N.; Sreedevi, A.

Publisher : Lecture Notes in Computational Vision and Biomechanics

Area and Time Efficient FFT Architecture Using Hardwired Pre-Shifted Bi-Rotation Cordic Design

Authors : Dr. Paramasivam C., M. Manikandan

Publisher : International Conference on Innovations in Engineering and Technology (ICIET)

New Approach for Clinical Data Analysis of Microwave Sensor Based Bone Healing Monitoring System in Craniosynostosis Treated Pediatric Patients

Authors : Dr. Dhanesh G. Kurup, Dr. Parul Mathur, M. D. Perez, V. Mattson, S. R. M. Shah, Jacob Velander, Noor Badariah Asan, Parul Mathur, M. Nasir, Daniel Nowinski, R. Augustine

Publisher : Conference on Antenna Measurement and Applications (CAMA)

Implementation of CORDIC look ahead based VLSI architecture for Kaiser Bessel window techniques in spectral analysis

Authors : Dr. Paramasivam C., Yamunadevi T

Publisher : International journal of Applied Engineering Research

Modified Scaling –Free CORDIC based in place FFT and IFFT architecture for radix-22 algorithm

Authors : Dr. Paramasivam C., Jayanthi KB

Publisher : Proceedings on International Conference on Innovations in computer science and Information Technology(ICICSIT)

CORDIC Based Pipelined Parallel Architecture for RFFT and RIFFT

Authors : Dr. Paramasivam C., Kathir chandrabose K

Publisher : Proceedings on International Conference on Advances in computing, control, communication, automation and structural engineering (ACCCAS-2015)

Implementation of hyperbolic CORDIC-based VLSI architecture for Kaiser-Bessel window techniques in spectral analysis

Authors : Dr. Paramasivam C., Yamunadevi T

Publisher : Proceedings on DRDO Sponsored 2nd IEEE International conference on Innovations in Information, Embedded and Communication Systems(ICIIECE’15)

Reverberant speech enchancement using two stage algorithm

Authors : Dr. Paramasivam C.

Publisher : Proceedings on Third National conference on recent trends in Electrical, Electronics, Instrumentation Communication Engineering

Bidirectional buck-boost cascade inverter

Authors : Lekshmi S., Dr. Sreeja Kochuvila, Krishnapriya, C.V.;

Publisher : Proceedings of IEEE International Conference on Technological Advancements in Power and Energy, TAP Energy 2015

Implementation and Design of optimized FIR filter using Radix-2r

Authors : Swaminadhan R.

Publisher : 3rd IEEE International Conference on Recent Trends in Electronics, Information Communication Technology (RTEICT)

Analysis of Deadbeat Control for an Integer-N Charge-pump PLL

Authors : Dr. T. K. Ramesh, Aravinda Koithyar; Dr. T. K. Ramesh

Publisher : International Conference on Eco-friendly Computing and Communication Systems, ICECCS 2015

IOT based smart surveillance of human health system

Authors : Dr. Paramasivam C., Saarumathi. K, Sakthiumamaheswari. K, Sangeetha. N, Rajkumar. K

Publisher : Proceedings on National conference on Recent advancement and effectual researches in electrical engineering

Interconversion of emotions in speech using TD-PSOLA

Authors : Dr. Susmitha Vekkot

Publisher : Advances in Signal Processing and Intelligent Recognition Systems

Low Bit Rate Image Coding Using Human Visual System Model

Authors : Dr. Shikha Tripathi, R. C. Jain; Glen Peres

Publisher : International Conference on Advanced Computing and Communications

Effect of sign-bit-flipping trojan on turbo coded communication systems

Authors : Dr. Salija P., Dr. Yamuna B., K. Balasubramanian, K. Lingasubramanian, and Dr. Deepak Mishra

Publisher : Proceedings of the 20th International Conference on Distributed Computing and Networking.

RFID based navigation system for unmanned material handling vehicle using FPGA

Authors : Dr. Paramasivam C., A. Meena; K. Anbarasan; S. B. Badhrinaathan; G. Mano Ranjith;

Publisher : Proceedings on International Conference on Modern Global Research in Engineering Technology (ICMGRET)

Principal component analysis based data reconciliation for a steam metering circuit

Authors : Jeyanthi R., Varshith, C.R.; Rishika, J.R.; Ganesh, S.; Jeyanthi R.; Mohana Reddy G.R.; Reddy V.S.; Prasad V.K.

Publisher : Advances in Intelligent Systems and Computing

Modelling and analysis of volatility in time series data

Authors : Jeyanthi R., Somarajan, S.; Shankar, M.; Sharma, T.; Jeyanthi R.; Mohana Reddy G.R.; Reddy V.S.; Prasad V.K.

Publisher : Advances in Intelligent Systems and Computing

Technology Aided Waste Management Practices in the Village of Maira, Punjab.

Authors : Kavitha C. R., Vignesh V., Sachin K G; Ganapathy S; Anantha Krishnan Nair; Sneayushee P V; Sakthi Vignesh S M; Vignesh V; Renjith Mohan

Publisher : SpringerBriefs in Applied Sciences and Technology

The Impact of Water Distribution Inconsistency in the Rural Settlements of Punjab and to Extrapolate a Nature Based Sustainable Technology to Enhance Livelihood

Authors : Kavitha C. R., Vignesh V., R. Yadhunath, Suresh, N., C Vardhan, B., Venkataramana,, Shubhankar, L., V. Vignesh, and Renjith Mohan

Publisher : SpringerBriefs in Applied Sciences and Technology

Building a generalized model for multi-lingual vocal emotion conversion

Authors : Dr. Susmitha Vekkot, Vekkot, S.

Publisher : 7th International Conference on Affective Computing and Intelligent Interaction

A system dynamic approach of patient satisfaction in India’s leading Health care

Authors : Maria Sabastin S., Mridula Sahay

Publisher : International Conference on Industrial Engineering and Operations Management, Bandung, Indonesia

Area Minimization in DSP Application based High speed arithmetic circuits

Authors : Dr. Paramasivam C.

Publisher : Proceedings on Second International conference on Signal and Image Processing (ICSIP)

Minimization of silicon area in high speed arithmetic circuits

Authors : Dr. Paramasivam C., T. Kalavathidevi, M Rameshwaran

Publisher : Proceedings on National conference on Modeling, Analysis Simulation of Computers and Telecommunication systems(MASCOT)

Low power design of high speed arithmetic circuits

Authors : Dr. Paramasivam C., T. Kalavathidevi, M Rameshwaran

Publisher : Proceedings on Second National conference on Trends and development in VLSI and embedded systems

Intuitive Voice Controlled Robot for Obstacle, Smoke and Fire Detection for Physically Challenged People

Authors : Priya B. K., P. Reddy, M., Reddy, S. Pavan Kaly, and Karthik, G. R. Sai

Publisher : SCAD college of Engineering and Technology, Tirunelveli

RTL SDR ADS-B Data Analysis for Predicting Airports and ATS Routes

Authors : Priya B. K., M. Shravan, Rakshit, R., Sanjana, P.

Publisher : Jain College of Engineering, Belagavi, India

Book Chapter

Fabrication of Molybdenum MEMS Structures using Dry and Wet Etching

Authors : Dr. Sandeep Singh Chauhan, Niharika J Gupta, M. M. Joglekar, and S. K. Manhas

Publisher : Springer

Aerial Infrastructure Sharing for 6G

Authors : Dr. Navin Kumar

Publisher : River Publication

Microgrid Optimization and Integration of Renewable Energy Resources: Innovation, Challenges and Prospects

Authors : Dr. Kamatchi S., Sheeba T. Blesslin,G. Jims John Wessley,V. Kanagaraj,A. Radhika,D.A. Janeera

Publisher : Integration of Renewable Energy Sources with Smart Grid (2021)

Automatic Detection of Parkinson Speech Under Noisy Environment

Authors : Dr. S. Lalitha, Jayashree, R. J., Ganesh, S., Karanth, S. C.

Publisher : Springer, Singapore

Non Linear Analysis of the Effect of Stimulation on Epileptic Signals Generated at Right Hippocampus

Authors : Dr. Sunitha R., Kataru, Siri Dhathri; R. Sunitha

Publisher : Advances in Signal Processing and Intelligent Recognition Systems

A Chronic Psychiatric Disorder Detection Using Ensemble Classification

Authors : Dr. S. Lalitha, Jithin, V. J.; Reddy, G. Manoj; Anand, R.; S. Lalitha

Publisher : Advances in Signal Processing and Intelligent Recognition Systems

Reliable Transport in Delay Tolerant Networks

Authors : Dr. Manoj Kumar Panda, Arshad Ali; Lucile Sassatelli; Tijani Chahed; Eitan Altman

Publisher : Routing in Opportunistic Networks, Springer New York

Graphene Properties by Functionalization with Organic Molecules

Authors : Dr. Abhilash Ravikumar, Guido Fratesi; Abhilash Ravikumar; Gian Paolo Brivio

Publisher : World Scientific Reference of Hybrid Materials

The Visible Light Communication: A Promising Technology

Authors : Dr. Navin Kumar, Aguiar, R. L

Publisher : IEC Annual Review of Communication 2009, vol. 61, IGI Global

An Optimized Regenerative Braking System for Electrical Vehicles

Authors : Jeyanthi R., B. Prasanth;K. Deepa;Saravanan B

Publisher : IGI Global Publishers

Fair Scheduling Non-orthogonal Random Access for 5G Networks

Authors : Dr. T. K. Ramesh, Purohit, Mansi N.; Dr. T. K. Ramesh

Publisher : Advances in Signal and Data Processing, Springer Singapore

Methods for Epileptic Seizure Prediction Using EEG Signals: A Survey

Authors : Dr. N. Neelima, Bulusu, Srinidhi; Sai Surya Siva Prasad, Raghavarapu;Telluri, Pavan

Publisher : Artificial Intelligence Techniques for Advanced Computing Applications

Comprehending the Dynamics of EEG Generated Under Various Odorant Stimulation on the Brain

Authors : Dr. Sunitha R., Chandu, Suma Sri Sravya; Kanodia, Prachi;Sreedevi, A.

Publisher : Advances in Signal Processing and Intelligent Recognition Systems

An Efficient and Reliable Centralized Connection Management Scheme for Computer Networks

Authors : Dr. T. K. Ramesh, Kashyap, S.; Shravan, I.V.; Suman, A.; Vaya, P.R.

Publisher : Lecture Notes in Computer Science

Non-linear analysis of time series generated from the freeman k-set model

Authors : Dr. Sunitha R., Anitta, F.; R. Sunitha; Pradhan, N.; Sreedevi, A.

Publisher : Advances in Intelligent Systems and Computing

Dimensional Modification Induced Band Gap Tuning in 2D-Photonic Crystal for Advanced Communication and Other Application

Authors : Dr. Kaustav Bhowmick, Sathya Narayanan, R. R.; Srinivasulu, T.; Kaul, Chitrank; Narendran, Arvind; Sharma, Ashit; Ghosh, Jhilick; Acharjee, Nabanita;

Publisher : Ubiquitous Communications and Network Computing, Springer Lecture Notes

Hamming Based Multiple Transient Error Correction Code for NoC Interconnect

Authors : Dr. M. Vinodhini, Dr. N.S. Murty

Publisher : Lecture Notes in Electrical Engineering, Vol. 711, PP. 569 – 579, 2021

Patents

Design and Investigation of Low Power Multi-Threshold CMOS based Static-RAM Cubicle For Modern IOT Applications

Authors : Dr. Paramasivam C., Dr.S.A.Sivakumar, Dr. M. Thillai Rani, Dr. S. Kamatchi, Dr.AbhayVidyarthi, Dr. N. ShanmugaVadivu, Dr.Shanmugasundaram, Dr. K. Shankar, Dr. K.C. Ramya, Dr. R. Maheswar, Dr.S.A.Sivakumar

Data carrier device

Authors : Dr. Dhanesh G. Kurup

Publisher : Wavelogics AB, EU

Encoding of RFID

Authors : Dr. Dhanesh G. Kurup

Publisher : WAVELOGICS (FORMERLY CPUM AB) AB Wavelogics AB, US

JTAG Architecture with Multi-Security Level

Authors : P. Satish Kumar

Publisher : Number 1925/CHE/2013 , India

Book

Lane Detection for Autonomous Cars Using Neural Networks

Authors : Bhavana V., Karishma Vivek Savant, Ghanta Meghana, Gayathri Potnuru

Publisher : Springer, Singapore

Leaf Disease Detection Using Image Processing Techniques and Offspring Generation Using Genetic Algorithm

Authors : Bhavana V., Achanta Sai Satvika, G Savitri Sreshta, RM Prathima

Publisher : Springer, Singapore

Ubiquitous Communications and Network Computing

Authors : Dr. Navin Kumar, Prasad, V.

Publisher : Springer

Computer Organization

Authors : Dr. Navin Kumar

Publisher : Galgotia Publication PVT LTD

Thesis

Electronic, Spin Dependent Conductive Properties of Modified Graphene

Authors : Dr. Abhilash Ravikumar

Publisher : Department of Materials Science University of Milano-Bicocca Italy

Other

A Comparative Study of Feature Modelling Methods for Telugu Language Identification

Authors : Dr. Susmitha Vekkot, Jaswanth, M., Narayana, N. K., Rahul, S.

Publisher : IEEE

Emotion Recognition Based Music Player

Authors : Dr. N. Neelima, K.Seshaayani, Srinithya SL, Pallavi, Visalatchi

Publisher : Fifth International Conference on Electrical, Computer and Communication Technologies (ICECCT)

VLSI Architecture for an Efficient 3-D DCT Algorithm

Publisher : International Journal of Electronics Letters, Taylor Francis Informa Ltd.

Music perception and cochlear implants—A review of major breakthroughs

Publisher : Computational Intelligence and Computing Research (ICCIC), 2014 IEEE International Conference on

Processing of EEG signals for study of coupling in brain regions for eyes open and eyes closed conditions

Publisher : Advances in Computing, Communications and Informatics (ICACCI, 2014 International Conference on

Fuzzy Fractional Order PID Based Parallel Cascade Control System

Publisher : Recent Advances in Intelligent Informatics

Voice operated micro air vehicle

Publisher : International Journal of Micro Air Vehicles

Transmission spectrum of a Photonic Crystal ring resonator with different bus configurations: Simulation based observation

Publisher : 2018 3rd International Conference on Microwave and Photonics, ICMAP 2018

Neuro-fuzzy-based control for parallel cascade control

Publisher : Chemical Product and Process Modeling

Performance Evaluation of Secured Wiretap Channel with Multi-Antenna Technologies

Publisher : 7th IEEE International conference on Advances in Computing, Communications and Informatics (ICACCI)

FPGA implementation of an advanced encoding and decoding architecture of polar codes

Publisher : 2015 International Conference on VLSI Systems, Architecture, Technology and Applications, VLSI-SATA 2015

Non-linear dynamic model with varying hip height for stable walking of biped robot

Publisher : International Journal of Robotics and Automation

Enhanced Model Reference Adaptive Control Using Smith Predictor

Publisher : Applied Mechanics and Materials

Isolated Kannada speech recognition using HTK—A detailed approach

Publisher : Advances in Intelligent Systems and Computing

Image Processing in Agriculture

Publisher : INTERNATIONAL JOURNAL OF INNOVATIVE RESEARCH IN ELECTRICAL, ELECTRONICS, INSTRUMENTATION AND CONTROL ENGINEERING

Unsupervised Learning for Satellite Image Classification

Publisher : IOSR Journal of VLSI and Signal Processing (IOSR – JUSP),

Emotion Recognition from Facial Expressions for 4D Videos Using Geometric Approach

Publisher : Advances in Signal Processing and Intelligent Recognition Systems: Proceedings of Second International Symposium on Signal Processing and Intelligent Recognition Systems (SIRS-2015) December 16-19, 2015, Trivandrum, India

An Investigation of Transmission Properties of Double-Exponential Pulses in Core-Clad Optical Fibers for Communication Application

Publisher : Lecture Notes of the Institute for Computer Sciences, Social-Informatics and Telecommunications Engineering, LNICST

Novel DCT and DWT based watermarking techniques for digital images

Publisher : Pattern Recognition, 2006. ICPR 2006. 18th International Conference on

Joint Source Channel Coding for Wireless Medium Using SPIHT- RS Codec

Publisher : IEEE International Symposium On Ad Hoc and Ubiquitous Computing

Efficient Transmission of Images Over Wireless Medium Using SPIHT- RS Codec

Publisher : IEEE International Symposium On Ad Hoc and Ubiquitous Computing

An efficient real time low bit rate video codec

Publisher : Computer Vision–ACCV 2006

Quantization Based Blind Watermarking Algorithm Using DWT

Publisher : International Conference on Image Processing, Computer Vision and Pattern Recognition

Admissions Apply Now